[clang] [clang-format] Properly indent lines inside Verilog case structure (PR #65861)

Owen Pan via cfe-commits cfe-commits at lists.llvm.org
Sat Sep 9 15:17:51 PDT 2023


================
@@ -1599,6 +1600,15 @@ unsigned ContinuationIndenter::moveStateToNextToken(LineState &State,
 
   State.Column += Current.ColumnWidth;
   State.NextToken = State.NextToken->Next;
+  // Verilog case labels are are on the same unwrapped lines as the statements
+  // that follow.  TokenAnnotator identifies them and sets MustBreakBefore.
+  // Indentation is taken care of here.  A case label can only have 1 statement
----------------
owenca wrote:

Typo: `are are`. Also, use single space after `.`.

https://github.com/llvm/llvm-project/pull/65861


More information about the cfe-commits mailing list