[PATCH] D98249: [SVE] Suppress vselect warning from incorrect interface call

Sander de Smalen via Phabricator via llvm-commits llvm-commits at lists.llvm.org
Thu Mar 18 02:17:00 PDT 2021


sdesmalen added a comment.

Just two more nits before I'm happy to accept.



================
Comment at: llvm/test/CodeGen/AArch64/sve-vselect-interface-warnings.ll:1
+; RUN: llc -mtriple=aarch64-linux-unknown -mattr=+sve -o - < %s 2>%t | FileCheck %s
+; RUN: FileCheck --check-prefix="WARN" --allow-empty %s <%t
----------------
Can you rename the file to `sve-cmp-select.ll` ?

We're planning to remove the 'warning' mechanism in the future, so it's better not to have `warning` in the name of files.



================
Comment at: llvm/test/CodeGen/AArch64/sve-vselect-interface-warnings.ll:7
+
+define <vscale x 8 x i8> @vselect_cmp_ne(<vscale x 8 x i8> %a, <vscale x 8 x i8> %b, <vscale x 8 x i8> %c) {
+  ; CHECK-LABEL: vselect_cmp_ne
----------------
Please change the types to `<vscale x 16 x i8>` (and `<vscale x 16 x i1>` for the predicate in the `select`), as that simplifies the resulting code a bit (i.e. no type legalization is necessary then).


Repository:
  rG LLVM Github Monorepo

CHANGES SINCE LAST ACTION
  https://reviews.llvm.org/D98249/new/

https://reviews.llvm.org/D98249



More information about the llvm-commits mailing list