[llvm] r327720 - [AMDGPU][MC] Corrected default values for unused SDWA operands

Dmitry Preobrazhensky via llvm-commits llvm-commits at lists.llvm.org
Fri Mar 16 08:40:27 PDT 2018


Modified: llvm/trunk/test/MC/Disassembler/AMDGPU/gfx9_dasm_all.txt
URL: http://llvm.org/viewvc/llvm-project/llvm/trunk/test/MC/Disassembler/AMDGPU/gfx9_dasm_all.txt?rev=327720&r1=327719&r2=327720&view=diff
==============================================================================
--- llvm/trunk/test/MC/Disassembler/AMDGPU/gfx9_dasm_all.txt (original)
+++ llvm/trunk/test/MC/Disassembler/AMDGPU/gfx9_dasm_all.txt Fri Mar 16 08:40:27 2018
@@ -1500,6 +1500,150 @@
 # CHECK: ds_max_f64 v1, v[2:3] offset:65535 gds    ; encoding: [0xff,0xff,0xa7,0xd8,0x01,0x02,0x00,0x00]
 0xff,0xff,0xa7,0xd8,0x01,0x02,0x00,0x00
 
+# CHECK: ds_write_b8_d16_hi v1, v2 offset:65535    ; encoding: [0xff,0xff,0xa8,0xd8,0x01,0x02,0x00,0x00]
+0xff,0xff,0xa8,0xd8,0x01,0x02,0x00,0x00
+
+# CHECK: ds_write_b8_d16_hi v255, v2 offset:65535    ; encoding: [0xff,0xff,0xa8,0xd8,0xff,0x02,0x00,0x00]
+0xff,0xff,0xa8,0xd8,0xff,0x02,0x00,0x00
+
+# CHECK: ds_write_b8_d16_hi v1, v255 offset:65535    ; encoding: [0xff,0xff,0xa8,0xd8,0x01,0xff,0x00,0x00]
+0xff,0xff,0xa8,0xd8,0x01,0xff,0x00,0x00
+
+# CHECK: ds_write_b8_d16_hi v1, v2    ; encoding: [0x00,0x00,0xa8,0xd8,0x01,0x02,0x00,0x00]
+0x00,0x00,0xa8,0xd8,0x01,0x02,0x00,0x00
+
+# CHECK: ds_write_b8_d16_hi v1, v2 offset:4    ; encoding: [0x04,0x00,0xa8,0xd8,0x01,0x02,0x00,0x00]
+0x04,0x00,0xa8,0xd8,0x01,0x02,0x00,0x00
+
+# CHECK: ds_write_b8_d16_hi v1, v2 offset:65535 gds    ; encoding: [0xff,0xff,0xa9,0xd8,0x01,0x02,0x00,0x00]
+0xff,0xff,0xa9,0xd8,0x01,0x02,0x00,0x00
+
+# CHECK: ds_write_b16_d16_hi v1, v2 offset:65535    ; encoding: [0xff,0xff,0xaa,0xd8,0x01,0x02,0x00,0x00]
+0xff,0xff,0xaa,0xd8,0x01,0x02,0x00,0x00
+
+# CHECK: ds_write_b16_d16_hi v255, v2 offset:65535    ; encoding: [0xff,0xff,0xaa,0xd8,0xff,0x02,0x00,0x00]
+0xff,0xff,0xaa,0xd8,0xff,0x02,0x00,0x00
+
+# CHECK: ds_write_b16_d16_hi v1, v255 offset:65535    ; encoding: [0xff,0xff,0xaa,0xd8,0x01,0xff,0x00,0x00]
+0xff,0xff,0xaa,0xd8,0x01,0xff,0x00,0x00
+
+# CHECK: ds_write_b16_d16_hi v1, v2    ; encoding: [0x00,0x00,0xaa,0xd8,0x01,0x02,0x00,0x00]
+0x00,0x00,0xaa,0xd8,0x01,0x02,0x00,0x00
+
+# CHECK: ds_write_b16_d16_hi v1, v2 offset:4    ; encoding: [0x04,0x00,0xaa,0xd8,0x01,0x02,0x00,0x00]
+0x04,0x00,0xaa,0xd8,0x01,0x02,0x00,0x00
+
+# CHECK: ds_write_b16_d16_hi v1, v2 offset:65535 gds    ; encoding: [0xff,0xff,0xab,0xd8,0x01,0x02,0x00,0x00]
+0xff,0xff,0xab,0xd8,0x01,0x02,0x00,0x00
+
+# CHECK: ds_read_u8_d16 v5, v1 offset:65535    ; encoding: [0xff,0xff,0xac,0xd8,0x01,0x00,0x00,0x05]
+0xff,0xff,0xac,0xd8,0x01,0x00,0x00,0x05
+
+# CHECK: ds_read_u8_d16 v255, v1 offset:65535    ; encoding: [0xff,0xff,0xac,0xd8,0x01,0x00,0x00,0xff]
+0xff,0xff,0xac,0xd8,0x01,0x00,0x00,0xff
+
+# CHECK: ds_read_u8_d16 v5, v255 offset:65535    ; encoding: [0xff,0xff,0xac,0xd8,0xff,0x00,0x00,0x05]
+0xff,0xff,0xac,0xd8,0xff,0x00,0x00,0x05
+
+# CHECK: ds_read_u8_d16 v5, v1    ; encoding: [0x00,0x00,0xac,0xd8,0x01,0x00,0x00,0x05]
+0x00,0x00,0xac,0xd8,0x01,0x00,0x00,0x05
+
+# CHECK: ds_read_u8_d16 v5, v1 offset:4    ; encoding: [0x04,0x00,0xac,0xd8,0x01,0x00,0x00,0x05]
+0x04,0x00,0xac,0xd8,0x01,0x00,0x00,0x05
+
+# CHECK: ds_read_u8_d16 v5, v1 offset:65535 gds    ; encoding: [0xff,0xff,0xad,0xd8,0x01,0x00,0x00,0x05]
+0xff,0xff,0xad,0xd8,0x01,0x00,0x00,0x05
+
+# CHECK: ds_read_u8_d16_hi v5, v1 offset:65535    ; encoding: [0xff,0xff,0xae,0xd8,0x01,0x00,0x00,0x05]
+0xff,0xff,0xae,0xd8,0x01,0x00,0x00,0x05
+
+# CHECK: ds_read_u8_d16_hi v255, v1 offset:65535    ; encoding: [0xff,0xff,0xae,0xd8,0x01,0x00,0x00,0xff]
+0xff,0xff,0xae,0xd8,0x01,0x00,0x00,0xff
+
+# CHECK: ds_read_u8_d16_hi v5, v255 offset:65535    ; encoding: [0xff,0xff,0xae,0xd8,0xff,0x00,0x00,0x05]
+0xff,0xff,0xae,0xd8,0xff,0x00,0x00,0x05
+
+# CHECK: ds_read_u8_d16_hi v5, v1    ; encoding: [0x00,0x00,0xae,0xd8,0x01,0x00,0x00,0x05]
+0x00,0x00,0xae,0xd8,0x01,0x00,0x00,0x05
+
+# CHECK: ds_read_u8_d16_hi v5, v1 offset:4    ; encoding: [0x04,0x00,0xae,0xd8,0x01,0x00,0x00,0x05]
+0x04,0x00,0xae,0xd8,0x01,0x00,0x00,0x05
+
+# CHECK: ds_read_u8_d16_hi v5, v1 offset:65535 gds    ; encoding: [0xff,0xff,0xaf,0xd8,0x01,0x00,0x00,0x05]
+0xff,0xff,0xaf,0xd8,0x01,0x00,0x00,0x05
+
+# CHECK: ds_read_i8_d16 v5, v1 offset:65535    ; encoding: [0xff,0xff,0xb0,0xd8,0x01,0x00,0x00,0x05]
+0xff,0xff,0xb0,0xd8,0x01,0x00,0x00,0x05
+
+# CHECK: ds_read_i8_d16 v255, v1 offset:65535    ; encoding: [0xff,0xff,0xb0,0xd8,0x01,0x00,0x00,0xff]
+0xff,0xff,0xb0,0xd8,0x01,0x00,0x00,0xff
+
+# CHECK: ds_read_i8_d16 v5, v255 offset:65535    ; encoding: [0xff,0xff,0xb0,0xd8,0xff,0x00,0x00,0x05]
+0xff,0xff,0xb0,0xd8,0xff,0x00,0x00,0x05
+
+# CHECK: ds_read_i8_d16 v5, v1    ; encoding: [0x00,0x00,0xb0,0xd8,0x01,0x00,0x00,0x05]
+0x00,0x00,0xb0,0xd8,0x01,0x00,0x00,0x05
+
+# CHECK: ds_read_i8_d16 v5, v1 offset:4    ; encoding: [0x04,0x00,0xb0,0xd8,0x01,0x00,0x00,0x05]
+0x04,0x00,0xb0,0xd8,0x01,0x00,0x00,0x05
+
+# CHECK: ds_read_i8_d16 v5, v1 offset:65535 gds    ; encoding: [0xff,0xff,0xb1,0xd8,0x01,0x00,0x00,0x05]
+0xff,0xff,0xb1,0xd8,0x01,0x00,0x00,0x05
+
+# CHECK: ds_read_i8_d16_hi v5, v1 offset:65535    ; encoding: [0xff,0xff,0xb2,0xd8,0x01,0x00,0x00,0x05]
+0xff,0xff,0xb2,0xd8,0x01,0x00,0x00,0x05
+
+# CHECK: ds_read_i8_d16_hi v255, v1 offset:65535    ; encoding: [0xff,0xff,0xb2,0xd8,0x01,0x00,0x00,0xff]
+0xff,0xff,0xb2,0xd8,0x01,0x00,0x00,0xff
+
+# CHECK: ds_read_i8_d16_hi v5, v255 offset:65535    ; encoding: [0xff,0xff,0xb2,0xd8,0xff,0x00,0x00,0x05]
+0xff,0xff,0xb2,0xd8,0xff,0x00,0x00,0x05
+
+# CHECK: ds_read_i8_d16_hi v5, v1    ; encoding: [0x00,0x00,0xb2,0xd8,0x01,0x00,0x00,0x05]
+0x00,0x00,0xb2,0xd8,0x01,0x00,0x00,0x05
+
+# CHECK: ds_read_i8_d16_hi v5, v1 offset:4    ; encoding: [0x04,0x00,0xb2,0xd8,0x01,0x00,0x00,0x05]
+0x04,0x00,0xb2,0xd8,0x01,0x00,0x00,0x05
+
+# CHECK: ds_read_i8_d16_hi v5, v1 offset:65535 gds    ; encoding: [0xff,0xff,0xb3,0xd8,0x01,0x00,0x00,0x05]
+0xff,0xff,0xb3,0xd8,0x01,0x00,0x00,0x05
+
+# CHECK: ds_read_u16_d16 v5, v1 offset:65535    ; encoding: [0xff,0xff,0xb4,0xd8,0x01,0x00,0x00,0x05]
+0xff,0xff,0xb4,0xd8,0x01,0x00,0x00,0x05
+
+# CHECK: ds_read_u16_d16 v255, v1 offset:65535    ; encoding: [0xff,0xff,0xb4,0xd8,0x01,0x00,0x00,0xff]
+0xff,0xff,0xb4,0xd8,0x01,0x00,0x00,0xff
+
+# CHECK: ds_read_u16_d16 v5, v255 offset:65535    ; encoding: [0xff,0xff,0xb4,0xd8,0xff,0x00,0x00,0x05]
+0xff,0xff,0xb4,0xd8,0xff,0x00,0x00,0x05
+
+# CHECK: ds_read_u16_d16 v5, v1    ; encoding: [0x00,0x00,0xb4,0xd8,0x01,0x00,0x00,0x05]
+0x00,0x00,0xb4,0xd8,0x01,0x00,0x00,0x05
+
+# CHECK: ds_read_u16_d16 v5, v1 offset:4    ; encoding: [0x04,0x00,0xb4,0xd8,0x01,0x00,0x00,0x05]
+0x04,0x00,0xb4,0xd8,0x01,0x00,0x00,0x05
+
+# CHECK: ds_read_u16_d16 v5, v1 offset:65535 gds    ; encoding: [0xff,0xff,0xb5,0xd8,0x01,0x00,0x00,0x05]
+0xff,0xff,0xb5,0xd8,0x01,0x00,0x00,0x05
+
+# CHECK: ds_read_u16_d16_hi v5, v1 offset:65535    ; encoding: [0xff,0xff,0xb6,0xd8,0x01,0x00,0x00,0x05]
+0xff,0xff,0xb6,0xd8,0x01,0x00,0x00,0x05
+
+# CHECK: ds_read_u16_d16_hi v255, v1 offset:65535    ; encoding: [0xff,0xff,0xb6,0xd8,0x01,0x00,0x00,0xff]
+0xff,0xff,0xb6,0xd8,0x01,0x00,0x00,0xff
+
+# CHECK: ds_read_u16_d16_hi v5, v255 offset:65535    ; encoding: [0xff,0xff,0xb6,0xd8,0xff,0x00,0x00,0x05]
+0xff,0xff,0xb6,0xd8,0xff,0x00,0x00,0x05
+
+# CHECK: ds_read_u16_d16_hi v5, v1    ; encoding: [0x00,0x00,0xb6,0xd8,0x01,0x00,0x00,0x05]
+0x00,0x00,0xb6,0xd8,0x01,0x00,0x00,0x05
+
+# CHECK: ds_read_u16_d16_hi v5, v1 offset:4    ; encoding: [0x04,0x00,0xb6,0xd8,0x01,0x00,0x00,0x05]
+0x04,0x00,0xb6,0xd8,0x01,0x00,0x00,0x05
+
+# CHECK: ds_read_u16_d16_hi v5, v1 offset:65535 gds    ; encoding: [0xff,0xff,0xb7,0xd8,0x01,0x00,0x00,0x05]
+0xff,0xff,0xb7,0xd8,0x01,0x00,0x00,0x05
+
 # CHECK: ds_add_rtn_u64 v[5:6], v1, v[2:3] offset:65535    ; encoding: [0xff,0xff,0xc0,0xd8,0x01,0x02,0x00,0x05]
 0xff,0xff,0xc0,0xd8,0x01,0x02,0x00,0x05
 
@@ -2745,90623 +2889,111335 @@
 # CHECK: exp mrt0 v0, v0, v0, v0 vm    ; encoding: [0x0f,0x10,0x00,0xc4,0x00,0x00,0x00,0x00]
 0x0f,0x10,0x00,0xc4,0x00,0x00,0x00,0x00
 
+# CHECK: flat_load_ubyte v5, v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x40,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x40,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_ubyte v255, v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x40,0xdc,0x01,0x00,0x00,0xff]
+0xff,0x0f,0x40,0xdc,0x01,0x00,0x00,0xff
+
+# CHECK: flat_load_ubyte v5, v[254:255] offset:4095    ; encoding: [0xff,0x0f,0x40,0xdc,0xfe,0x00,0x00,0x05]
+0xff,0x0f,0x40,0xdc,0xfe,0x00,0x00,0x05
+
 # CHECK: flat_load_ubyte v5, v[1:2]    ; encoding: [0x00,0x00,0x40,0xdc,0x01,0x00,0x00,0x05]
 0x00,0x00,0x40,0xdc,0x01,0x00,0x00,0x05
 
+# CHECK: flat_load_ubyte v5, v[1:2] offset:7    ; encoding: [0x07,0x00,0x40,0xdc,0x01,0x00,0x00,0x05]
+0x07,0x00,0x40,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_ubyte v5, v[1:2] offset:4095 glc    ; encoding: [0xff,0x0f,0x41,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x41,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_ubyte v5, v[1:2] offset:4095 slc    ; encoding: [0xff,0x0f,0x42,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x42,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_sbyte v5, v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x44,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x44,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_sbyte v255, v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x44,0xdc,0x01,0x00,0x00,0xff]
+0xff,0x0f,0x44,0xdc,0x01,0x00,0x00,0xff
+
+# CHECK: flat_load_sbyte v5, v[254:255] offset:4095    ; encoding: [0xff,0x0f,0x44,0xdc,0xfe,0x00,0x00,0x05]
+0xff,0x0f,0x44,0xdc,0xfe,0x00,0x00,0x05
+
 # CHECK: flat_load_sbyte v5, v[1:2]    ; encoding: [0x00,0x00,0x44,0xdc,0x01,0x00,0x00,0x05]
 0x00,0x00,0x44,0xdc,0x01,0x00,0x00,0x05
 
+# CHECK: flat_load_sbyte v5, v[1:2] offset:7    ; encoding: [0x07,0x00,0x44,0xdc,0x01,0x00,0x00,0x05]
+0x07,0x00,0x44,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_sbyte v5, v[1:2] offset:4095 glc    ; encoding: [0xff,0x0f,0x45,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x45,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_sbyte v5, v[1:2] offset:4095 slc    ; encoding: [0xff,0x0f,0x46,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x46,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_ushort v5, v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x48,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x48,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_ushort v255, v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x48,0xdc,0x01,0x00,0x00,0xff]
+0xff,0x0f,0x48,0xdc,0x01,0x00,0x00,0xff
+
+# CHECK: flat_load_ushort v5, v[254:255] offset:4095    ; encoding: [0xff,0x0f,0x48,0xdc,0xfe,0x00,0x00,0x05]
+0xff,0x0f,0x48,0xdc,0xfe,0x00,0x00,0x05
+
 # CHECK: flat_load_ushort v5, v[1:2]    ; encoding: [0x00,0x00,0x48,0xdc,0x01,0x00,0x00,0x05]
 0x00,0x00,0x48,0xdc,0x01,0x00,0x00,0x05
 
+# CHECK: flat_load_ushort v5, v[1:2] offset:7    ; encoding: [0x07,0x00,0x48,0xdc,0x01,0x00,0x00,0x05]
+0x07,0x00,0x48,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_ushort v5, v[1:2] offset:4095 glc    ; encoding: [0xff,0x0f,0x49,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x49,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_ushort v5, v[1:2] offset:4095 slc    ; encoding: [0xff,0x0f,0x4a,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x4a,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_sshort v5, v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x4c,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x4c,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_sshort v255, v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x4c,0xdc,0x01,0x00,0x00,0xff]
+0xff,0x0f,0x4c,0xdc,0x01,0x00,0x00,0xff
+
+# CHECK: flat_load_sshort v5, v[254:255] offset:4095    ; encoding: [0xff,0x0f,0x4c,0xdc,0xfe,0x00,0x00,0x05]
+0xff,0x0f,0x4c,0xdc,0xfe,0x00,0x00,0x05
+
 # CHECK: flat_load_sshort v5, v[1:2]    ; encoding: [0x00,0x00,0x4c,0xdc,0x01,0x00,0x00,0x05]
 0x00,0x00,0x4c,0xdc,0x01,0x00,0x00,0x05
 
+# CHECK: flat_load_sshort v5, v[1:2] offset:7    ; encoding: [0x07,0x00,0x4c,0xdc,0x01,0x00,0x00,0x05]
+0x07,0x00,0x4c,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_sshort v5, v[1:2] offset:4095 glc    ; encoding: [0xff,0x0f,0x4d,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x4d,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_sshort v5, v[1:2] offset:4095 slc    ; encoding: [0xff,0x0f,0x4e,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x4e,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_dword v5, v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x50,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x50,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_dword v255, v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x50,0xdc,0x01,0x00,0x00,0xff]
+0xff,0x0f,0x50,0xdc,0x01,0x00,0x00,0xff
+
+# CHECK: flat_load_dword v5, v[254:255] offset:4095    ; encoding: [0xff,0x0f,0x50,0xdc,0xfe,0x00,0x00,0x05]
+0xff,0x0f,0x50,0xdc,0xfe,0x00,0x00,0x05
+
 # CHECK: flat_load_dword v5, v[1:2]    ; encoding: [0x00,0x00,0x50,0xdc,0x01,0x00,0x00,0x05]
 0x00,0x00,0x50,0xdc,0x01,0x00,0x00,0x05
 
+# CHECK: flat_load_dword v5, v[1:2] offset:7    ; encoding: [0x07,0x00,0x50,0xdc,0x01,0x00,0x00,0x05]
+0x07,0x00,0x50,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_dword v5, v[1:2] offset:4095 glc    ; encoding: [0xff,0x0f,0x51,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x51,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_dword v5, v[1:2] offset:4095 slc    ; encoding: [0xff,0x0f,0x52,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x52,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_dwordx2 v[5:6], v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x54,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x54,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_dwordx2 v[254:255], v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x54,0xdc,0x01,0x00,0x00,0xfe]
+0xff,0x0f,0x54,0xdc,0x01,0x00,0x00,0xfe
+
+# CHECK: flat_load_dwordx2 v[5:6], v[254:255] offset:4095    ; encoding: [0xff,0x0f,0x54,0xdc,0xfe,0x00,0x00,0x05]
+0xff,0x0f,0x54,0xdc,0xfe,0x00,0x00,0x05
+
 # CHECK: flat_load_dwordx2 v[5:6], v[1:2]    ; encoding: [0x00,0x00,0x54,0xdc,0x01,0x00,0x00,0x05]
 0x00,0x00,0x54,0xdc,0x01,0x00,0x00,0x05
 
+# CHECK: flat_load_dwordx2 v[5:6], v[1:2] offset:7    ; encoding: [0x07,0x00,0x54,0xdc,0x01,0x00,0x00,0x05]
+0x07,0x00,0x54,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_dwordx2 v[5:6], v[1:2] offset:4095 glc    ; encoding: [0xff,0x0f,0x55,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x55,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_dwordx2 v[5:6], v[1:2] offset:4095 slc    ; encoding: [0xff,0x0f,0x56,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x56,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_dwordx3 v[5:7], v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x58,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x58,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_dwordx3 v[253:255], v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x58,0xdc,0x01,0x00,0x00,0xfd]
+0xff,0x0f,0x58,0xdc,0x01,0x00,0x00,0xfd
+
+# CHECK: flat_load_dwordx3 v[5:7], v[254:255] offset:4095    ; encoding: [0xff,0x0f,0x58,0xdc,0xfe,0x00,0x00,0x05]
+0xff,0x0f,0x58,0xdc,0xfe,0x00,0x00,0x05
+
 # CHECK: flat_load_dwordx3 v[5:7], v[1:2]    ; encoding: [0x00,0x00,0x58,0xdc,0x01,0x00,0x00,0x05]
 0x00,0x00,0x58,0xdc,0x01,0x00,0x00,0x05
 
+# CHECK: flat_load_dwordx3 v[5:7], v[1:2] offset:7    ; encoding: [0x07,0x00,0x58,0xdc,0x01,0x00,0x00,0x05]
+0x07,0x00,0x58,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_dwordx3 v[5:7], v[1:2] offset:4095 glc    ; encoding: [0xff,0x0f,0x59,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x59,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_dwordx3 v[5:7], v[1:2] offset:4095 slc    ; encoding: [0xff,0x0f,0x5a,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x5a,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_dwordx4 v[5:8], v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x5c,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x5c,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_dwordx4 v[252:255], v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x5c,0xdc,0x01,0x00,0x00,0xfc]
+0xff,0x0f,0x5c,0xdc,0x01,0x00,0x00,0xfc
+
+# CHECK: flat_load_dwordx4 v[5:8], v[254:255] offset:4095    ; encoding: [0xff,0x0f,0x5c,0xdc,0xfe,0x00,0x00,0x05]
+0xff,0x0f,0x5c,0xdc,0xfe,0x00,0x00,0x05
+
 # CHECK: flat_load_dwordx4 v[5:8], v[1:2]    ; encoding: [0x00,0x00,0x5c,0xdc,0x01,0x00,0x00,0x05]
 0x00,0x00,0x5c,0xdc,0x01,0x00,0x00,0x05
 
+# CHECK: flat_load_dwordx4 v[5:8], v[1:2] offset:7    ; encoding: [0x07,0x00,0x5c,0xdc,0x01,0x00,0x00,0x05]
+0x07,0x00,0x5c,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_dwordx4 v[5:8], v[1:2] offset:4095 glc    ; encoding: [0xff,0x0f,0x5d,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x5d,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_load_dwordx4 v[5:8], v[1:2] offset:4095 slc    ; encoding: [0xff,0x0f,0x5e,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x5e,0xdc,0x01,0x00,0x00,0x05
+
+# CHECK: flat_store_byte v[1:2], v2 offset:4095    ; encoding: [0xff,0x0f,0x60,0xdc,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x60,0xdc,0x01,0x02,0x00,0x00
+
+# CHECK: flat_store_byte v[254:255], v2 offset:4095    ; encoding: [0xff,0x0f,0x60,0xdc,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x60,0xdc,0xfe,0x02,0x00,0x00
+
+# CHECK: flat_store_byte v[1:2], v255 offset:4095    ; encoding: [0xff,0x0f,0x60,0xdc,0x01,0xff,0x00,0x00]
+0xff,0x0f,0x60,0xdc,0x01,0xff,0x00,0x00
+
 # CHECK: flat_store_byte v[1:2], v2    ; encoding: [0x00,0x00,0x60,0xdc,0x01,0x02,0x00,0x00]
 0x00,0x00,0x60,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: flat_store_short v[1:2], v2    ; encoding: [0x00,0x00,0x68,0xdc,0x01,0x02,0x00,0x00]
-0x00,0x00,0x68,0xdc,0x01,0x02,0x00,0x00
+# CHECK: flat_store_byte v[1:2], v2 offset:7    ; encoding: [0x07,0x00,0x60,0xdc,0x01,0x02,0x00,0x00]
+0x07,0x00,0x60,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: flat_store_dword v[1:2], v2    ; encoding: [0x00,0x00,0x70,0xdc,0x01,0x02,0x00,0x00]
-0x00,0x00,0x70,0xdc,0x01,0x02,0x00,0x00
+# CHECK: flat_store_byte v[1:2], v2 offset:4095 glc    ; encoding: [0xff,0x0f,0x61,0xdc,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x61,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: flat_store_dwordx2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0x74,0xdc,0x01,0x02,0x00,0x00]
-0x00,0x00,0x74,0xdc,0x01,0x02,0x00,0x00
+# CHECK: flat_store_byte v[1:2], v2 offset:4095 slc    ; encoding: [0xff,0x0f,0x62,0xdc,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x62,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: flat_store_dwordx3 v[1:2], v[2:4]    ; encoding: [0x00,0x00,0x78,0xdc,0x01,0x02,0x00,0x00]
-0x00,0x00,0x78,0xdc,0x01,0x02,0x00,0x00
+# CHECK: flat_store_byte_d16_hi v[1:2], v2 offset:4095    ; encoding: [0xff,0x0f,0x64,0xdc,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x64,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: flat_store_dwordx4 v[1:2], v[2:5]    ; encoding: [0x00,0x00,0x7c,0xdc,0x01,0x02,0x00,0x00]
-0x00,0x00,0x7c,0xdc,0x01,0x02,0x00,0x00
+# CHECK: flat_store_byte_d16_hi v[254:255], v2 offset:4095    ; encoding: [0xff,0x0f,0x64,0xdc,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x64,0xdc,0xfe,0x02,0x00,0x00
 
-# CHECK: flat_atomic_swap v[1:2], v2    ; encoding: [0x00,0x00,0x00,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0x00,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_byte_d16_hi v[1:2], v255 offset:4095    ; encoding: [0xff,0x0f,0x64,0xdc,0x01,0xff,0x00,0x00]
+0xff,0x0f,0x64,0xdc,0x01,0xff,0x00,0x00
 
-# CHECK: flat_atomic_cmpswap v[1:2], v[2:3]    ; encoding: [0x00,0x00,0x04,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0x04,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_byte_d16_hi v[1:2], v2    ; encoding: [0x00,0x00,0x64,0xdc,0x01,0x02,0x00,0x00]
+0x00,0x00,0x64,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: flat_atomic_add v[1:2], v2    ; encoding: [0x00,0x00,0x08,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0x08,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_byte_d16_hi v[1:2], v2 offset:7    ; encoding: [0x07,0x00,0x64,0xdc,0x01,0x02,0x00,0x00]
+0x07,0x00,0x64,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: flat_atomic_sub v[1:2], v2    ; encoding: [0x00,0x00,0x0c,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0x0c,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_byte_d16_hi v[1:2], v2 offset:4095 glc    ; encoding: [0xff,0x0f,0x65,0xdc,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x65,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: flat_atomic_smin v[1:2], v2    ; encoding: [0x00,0x00,0x10,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0x10,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_byte_d16_hi v[1:2], v2 offset:4095 slc    ; encoding: [0xff,0x0f,0x66,0xdc,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x66,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: flat_atomic_umin v[1:2], v2    ; encoding: [0x00,0x00,0x14,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0x14,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_short v[1:2], v2 offset:4095    ; encoding: [0xff,0x0f,0x68,0xdc,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x68,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: flat_atomic_smax v[1:2], v2    ; encoding: [0x00,0x00,0x18,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0x18,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_short v[254:255], v2 offset:4095    ; encoding: [0xff,0x0f,0x68,0xdc,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x68,0xdc,0xfe,0x02,0x00,0x00
 
-# CHECK: flat_atomic_umax v[1:2], v2    ; encoding: [0x00,0x00,0x1c,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0x1c,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_short v[1:2], v255 offset:4095    ; encoding: [0xff,0x0f,0x68,0xdc,0x01,0xff,0x00,0x00]
+0xff,0x0f,0x68,0xdc,0x01,0xff,0x00,0x00
 
-# CHECK: flat_atomic_and v[1:2], v2    ; encoding: [0x00,0x00,0x20,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0x20,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_short v[1:2], v2    ; encoding: [0x00,0x00,0x68,0xdc,0x01,0x02,0x00,0x00]
+0x00,0x00,0x68,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: flat_atomic_or v[1:2], v2    ; encoding: [0x00,0x00,0x24,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0x24,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_short v[1:2], v2 offset:7    ; encoding: [0x07,0x00,0x68,0xdc,0x01,0x02,0x00,0x00]
+0x07,0x00,0x68,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: flat_atomic_xor v[1:2], v2    ; encoding: [0x00,0x00,0x28,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0x28,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_short v[1:2], v2 offset:4095 glc    ; encoding: [0xff,0x0f,0x69,0xdc,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x69,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: flat_atomic_inc v[1:2], v2    ; encoding: [0x00,0x00,0x2c,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0x2c,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_short v[1:2], v2 offset:4095 slc    ; encoding: [0xff,0x0f,0x6a,0xdc,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x6a,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: flat_atomic_dec v[1:2], v2    ; encoding: [0x00,0x00,0x30,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0x30,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_short_d16_hi v[1:2], v2 offset:4095    ; encoding: [0xff,0x0f,0x6c,0xdc,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x6c,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: flat_atomic_swap_x2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0x80,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0x80,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_short_d16_hi v[254:255], v2 offset:4095    ; encoding: [0xff,0x0f,0x6c,0xdc,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x6c,0xdc,0xfe,0x02,0x00,0x00
 
-# CHECK: flat_atomic_cmpswap_x2 v[1:2], v[2:5]    ; encoding: [0x00,0x00,0x84,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0x84,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_short_d16_hi v[1:2], v255 offset:4095    ; encoding: [0xff,0x0f,0x6c,0xdc,0x01,0xff,0x00,0x00]
+0xff,0x0f,0x6c,0xdc,0x01,0xff,0x00,0x00
 
-# CHECK: flat_atomic_add_x2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0x88,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0x88,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_short_d16_hi v[1:2], v2    ; encoding: [0x00,0x00,0x6c,0xdc,0x01,0x02,0x00,0x00]
+0x00,0x00,0x6c,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: flat_atomic_sub_x2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0x8c,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0x8c,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_short_d16_hi v[1:2], v2 offset:7    ; encoding: [0x07,0x00,0x6c,0xdc,0x01,0x02,0x00,0x00]
+0x07,0x00,0x6c,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: flat_atomic_smin_x2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0x90,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0x90,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_short_d16_hi v[1:2], v2 offset:4095 glc    ; encoding: [0xff,0x0f,0x6d,0xdc,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x6d,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: flat_atomic_umin_x2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0x94,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0x94,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_short_d16_hi v[1:2], v2 offset:4095 slc    ; encoding: [0xff,0x0f,0x6e,0xdc,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x6e,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: flat_atomic_smax_x2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0x98,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0x98,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_dword v[1:2], v2 offset:4095    ; encoding: [0xff,0x0f,0x70,0xdc,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x70,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: flat_atomic_umax_x2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0x9c,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0x9c,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_dword v[254:255], v2 offset:4095    ; encoding: [0xff,0x0f,0x70,0xdc,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x70,0xdc,0xfe,0x02,0x00,0x00
 
-# CHECK: flat_atomic_and_x2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0xa0,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0xa0,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_dword v[1:2], v255 offset:4095    ; encoding: [0xff,0x0f,0x70,0xdc,0x01,0xff,0x00,0x00]
+0xff,0x0f,0x70,0xdc,0x01,0xff,0x00,0x00
 
-# CHECK: flat_atomic_or_x2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0xa4,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0xa4,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_dword v[1:2], v2    ; encoding: [0x00,0x00,0x70,0xdc,0x01,0x02,0x00,0x00]
+0x00,0x00,0x70,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: flat_atomic_xor_x2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0xa8,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0xa8,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_dword v[1:2], v2 offset:7    ; encoding: [0x07,0x00,0x70,0xdc,0x01,0x02,0x00,0x00]
+0x07,0x00,0x70,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: flat_atomic_inc_x2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0xac,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0xac,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_dword v[1:2], v2 offset:4095 glc    ; encoding: [0xff,0x0f,0x71,0xdc,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x71,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: flat_atomic_dec_x2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0xb0,0xdd,0x01,0x02,0x00,0x00]
-0x00,0x00,0xb0,0xdd,0x01,0x02,0x00,0x00
+# CHECK: flat_store_dword v[1:2], v2 offset:4095 slc    ; encoding: [0xff,0x0f,0x72,0xdc,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x72,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: image_get_resinfo v5, v1, s[8:15] dmask:0x1    ; encoding: [0x00,0x01,0x38,0xf0,0x01,0x05,0x02,0x00]
-0x00,0x01,0x38,0xf0,0x01,0x05,0x02,0x00
+# CHECK: flat_store_dwordx2 v[1:2], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0x74,0xdc,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x74,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: image_get_resinfo v252, v1, s[8:15] dmask:0x1    ; encoding: [0x00,0x01,0x38,0xf0,0x01,0xfc,0x02,0x00]
-0x00,0x01,0x38,0xf0,0x01,0xfc,0x02,0x00
+# CHECK: flat_store_dwordx2 v[254:255], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0x74,0xdc,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x74,0xdc,0xfe,0x02,0x00,0x00
 
-# CHECK: image_get_resinfo v5, v255, s[8:15] dmask:0x1    ; encoding: [0x00,0x01,0x38,0xf0,0xff,0x05,0x02,0x00]
-0x00,0x01,0x38,0xf0,0xff,0x05,0x02,0x00
+# CHECK: flat_store_dwordx2 v[1:2], v[254:255] offset:4095    ; encoding: [0xff,0x0f,0x74,0xdc,0x01,0xfe,0x00,0x00]
+0xff,0x0f,0x74,0xdc,0x01,0xfe,0x00,0x00
 
-# CHECK: image_get_resinfo v5, v1, s[12:19] dmask:0x1    ; encoding: [0x00,0x01,0x38,0xf0,0x01,0x05,0x03,0x00]
-0x00,0x01,0x38,0xf0,0x01,0x05,0x03,0x00
+# CHECK: flat_store_dwordx2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0x74,0xdc,0x01,0x02,0x00,0x00]
+0x00,0x00,0x74,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: image_get_resinfo v5, v1, s[92:99] dmask:0x1    ; encoding: [0x00,0x01,0x38,0xf0,0x01,0x05,0x17,0x00]
-0x00,0x01,0x38,0xf0,0x01,0x05,0x17,0x00
+# CHECK: flat_store_dwordx2 v[1:2], v[2:3] offset:7    ; encoding: [0x07,0x00,0x74,0xdc,0x01,0x02,0x00,0x00]
+0x07,0x00,0x74,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: image_get_resinfo v5, v1, s[8:15] dmask:0x2    ; encoding: [0x00,0x02,0x38,0xf0,0x01,0x05,0x02,0x00]
-0x00,0x02,0x38,0xf0,0x01,0x05,0x02,0x00
+# CHECK: flat_store_dwordx2 v[1:2], v[2:3] offset:4095 glc    ; encoding: [0xff,0x0f,0x75,0xdc,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x75,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: image_get_resinfo v5, v1, s[8:15] dmask:0x4    ; encoding: [0x00,0x04,0x38,0xf0,0x01,0x05,0x02,0x00]
-0x00,0x04,0x38,0xf0,0x01,0x05,0x02,0x00
+# CHECK: flat_store_dwordx2 v[1:2], v[2:3] offset:4095 slc    ; encoding: [0xff,0x0f,0x76,0xdc,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x76,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: image_get_resinfo v5, v1, s[8:15] dmask:0x8    ; encoding: [0x00,0x08,0x38,0xf0,0x01,0x05,0x02,0x00]
-0x00,0x08,0x38,0xf0,0x01,0x05,0x02,0x00
+# CHECK: flat_store_dwordx3 v[1:2], v[2:4] offset:4095    ; encoding: [0xff,0x0f,0x78,0xdc,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x78,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: image_get_resinfo v5, v1, s[8:15] dmask:0x1 unorm    ; encoding: [0x00,0x11,0x38,0xf0,0x01,0x05,0x02,0x00]
-0x00,0x11,0x38,0xf0,0x01,0x05,0x02,0x00
+# CHECK: flat_store_dwordx3 v[254:255], v[2:4] offset:4095    ; encoding: [0xff,0x0f,0x78,0xdc,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x78,0xdc,0xfe,0x02,0x00,0x00
 
-# CHECK: image_get_resinfo v5, v1, s[8:15] dmask:0x1 glc    ; encoding: [0x00,0x21,0x38,0xf0,0x01,0x05,0x02,0x00]
-0x00,0x21,0x38,0xf0,0x01,0x05,0x02,0x00
+# CHECK: flat_store_dwordx3 v[1:2], v[253:255] offset:4095    ; encoding: [0xff,0x0f,0x78,0xdc,0x01,0xfd,0x00,0x00]
+0xff,0x0f,0x78,0xdc,0x01,0xfd,0x00,0x00
 
-# CHECK: image_get_resinfo v5, v1, s[8:15] dmask:0x1 slc    ; encoding: [0x00,0x01,0x38,0xf2,0x01,0x05,0x02,0x00]
-0x00,0x01,0x38,0xf2,0x01,0x05,0x02,0x00
+# CHECK: flat_store_dwordx3 v[1:2], v[2:4]    ; encoding: [0x00,0x00,0x78,0xdc,0x01,0x02,0x00,0x00]
+0x00,0x00,0x78,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: image_get_resinfo v5, v1, s[8:15] dmask:0x1 lwe    ; encoding: [0x00,0x01,0x3a,0xf0,0x01,0x05,0x02,0x00]
-0x00,0x01,0x3a,0xf0,0x01,0x05,0x02,0x00
+# CHECK: flat_store_dwordx3 v[1:2], v[2:4] offset:7    ; encoding: [0x07,0x00,0x78,0xdc,0x01,0x02,0x00,0x00]
+0x07,0x00,0x78,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: image_get_resinfo v5, v1, s[8:15] dmask:0x1 da    ; encoding: [0x00,0x41,0x38,0xf0,0x01,0x05,0x02,0x00]
-0x00,0x41,0x38,0xf0,0x01,0x05,0x02,0x00
+# CHECK: flat_store_dwordx3 v[1:2], v[2:4] offset:4095 glc    ; encoding: [0xff,0x0f,0x79,0xdc,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x79,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_format_x v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_store_dwordx3 v[1:2], v[2:4] offset:4095 slc    ; encoding: [0xff,0x0f,0x7a,0xdc,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x7a,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_format_x v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe0,0x00,0xff,0x02,0x03]
-0xff,0x0f,0x00,0xe0,0x00,0xff,0x02,0x03
+# CHECK: flat_store_dwordx4 v[1:2], v[2:5] offset:4095    ; encoding: [0xff,0x0f,0x7c,0xdc,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x7c,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_format_x v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe0,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x00,0xe0,0x00,0x05,0x03,0x03
+# CHECK: flat_store_dwordx4 v[254:255], v[2:5] offset:4095    ; encoding: [0xff,0x0f,0x7c,0xdc,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x7c,0xdc,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_load_format_x v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe0,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x00,0xe0,0x00,0x05,0x18,0x03
+# CHECK: flat_store_dwordx4 v[1:2], v[252:255] offset:4095    ; encoding: [0xff,0x0f,0x7c,0xdc,0x01,0xfc,0x00,0x00]
+0xff,0x0f,0x7c,0xdc,0x01,0xfc,0x00,0x00
 
-# CHECK: buffer_load_format_x v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0x65
+# CHECK: flat_store_dwordx4 v[1:2], v[2:5]    ; encoding: [0x00,0x00,0x7c,0xdc,0x01,0x02,0x00,0x00]
+0x00,0x00,0x7c,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_format_x v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0x7c
+# CHECK: flat_store_dwordx4 v[1:2], v[2:5] offset:7    ; encoding: [0x07,0x00,0x7c,0xdc,0x01,0x02,0x00,0x00]
+0x07,0x00,0x7c,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_format_x v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0x80
+# CHECK: flat_store_dwordx4 v[1:2], v[2:5] offset:4095 glc    ; encoding: [0xff,0x0f,0x7d,0xdc,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x7d,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_format_x v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0xc1
+# CHECK: flat_store_dwordx4 v[1:2], v[2:5] offset:4095 slc    ; encoding: [0xff,0x0f,0x7e,0xdc,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x7e,0xdc,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_format_x v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0xf0
+# CHECK: flat_load_ubyte_d16 v5, v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x80,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x80,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_x v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0xf7
+# CHECK: flat_load_ubyte_d16 v255, v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x80,0xdc,0x01,0x00,0x00,0xff]
+0xff,0x0f,0x80,0xdc,0x01,0x00,0x00,0xff
 
-# CHECK: buffer_load_format_x v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x00,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x00,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_load_ubyte_d16 v5, v[254:255] offset:4095    ; encoding: [0xff,0x0f,0x80,0xdc,0xfe,0x00,0x00,0x05]
+0xff,0x0f,0x80,0xdc,0xfe,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_x v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x00,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x00,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_load_ubyte_d16 v5, v[1:2]    ; encoding: [0x00,0x00,0x80,0xdc,0x01,0x00,0x00,0x05]
+0x00,0x00,0x80,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_x v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x00,0xe0,0x00,0x05,0x02,0x03]
-0x00,0x00,0x00,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_load_ubyte_d16 v5, v[1:2] offset:7    ; encoding: [0x07,0x00,0x80,0xdc,0x01,0x00,0x00,0x05]
+0x07,0x00,0x80,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_x v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x00,0xe0,0x00,0x05,0x02,0x03]
-0x07,0x00,0x00,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_load_ubyte_d16 v5, v[1:2] offset:4095 glc    ; encoding: [0xff,0x0f,0x81,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x81,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_x v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x00,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x00,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_load_ubyte_d16 v5, v[1:2] offset:4095 slc    ; encoding: [0xff,0x0f,0x82,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x82,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_x v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x02,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x02,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_load_ubyte_d16_hi v5, v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x84,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x84,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xy v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_load_ubyte_d16_hi v255, v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x84,0xdc,0x01,0x00,0x00,0xff]
+0xff,0x0f,0x84,0xdc,0x01,0x00,0x00,0xff
 
-# CHECK: buffer_load_format_xy v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe0,0x00,0xfe,0x02,0x03]
-0xff,0x0f,0x04,0xe0,0x00,0xfe,0x02,0x03
+# CHECK: flat_load_ubyte_d16_hi v5, v[254:255] offset:4095    ; encoding: [0xff,0x0f,0x84,0xdc,0xfe,0x00,0x00,0x05]
+0xff,0x0f,0x84,0xdc,0xfe,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xy v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe0,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x04,0xe0,0x00,0x05,0x03,0x03
+# CHECK: flat_load_ubyte_d16_hi v5, v[1:2]    ; encoding: [0x00,0x00,0x84,0xdc,0x01,0x00,0x00,0x05]
+0x00,0x00,0x84,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xy v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe0,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x04,0xe0,0x00,0x05,0x18,0x03
+# CHECK: flat_load_ubyte_d16_hi v5, v[1:2] offset:7    ; encoding: [0x07,0x00,0x84,0xdc,0x01,0x00,0x00,0x05]
+0x07,0x00,0x84,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xy v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0x65
+# CHECK: flat_load_ubyte_d16_hi v5, v[1:2] offset:4095 glc    ; encoding: [0xff,0x0f,0x85,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x85,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xy v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0x7c
+# CHECK: flat_load_ubyte_d16_hi v5, v[1:2] offset:4095 slc    ; encoding: [0xff,0x0f,0x86,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x86,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xy v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0x80
+# CHECK: flat_load_sbyte_d16 v5, v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x88,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x88,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xy v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0xc1
+# CHECK: flat_load_sbyte_d16 v255, v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x88,0xdc,0x01,0x00,0x00,0xff]
+0xff,0x0f,0x88,0xdc,0x01,0x00,0x00,0xff
 
-# CHECK: buffer_load_format_xy v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0xf0
+# CHECK: flat_load_sbyte_d16 v5, v[254:255] offset:4095    ; encoding: [0xff,0x0f,0x88,0xdc,0xfe,0x00,0x00,0x05]
+0xff,0x0f,0x88,0xdc,0xfe,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xy v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0xf7
+# CHECK: flat_load_sbyte_d16 v5, v[1:2]    ; encoding: [0x00,0x00,0x88,0xdc,0x01,0x00,0x00,0x05]
+0x00,0x00,0x88,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xy v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x04,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x04,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_load_sbyte_d16 v5, v[1:2] offset:7    ; encoding: [0x07,0x00,0x88,0xdc,0x01,0x00,0x00,0x05]
+0x07,0x00,0x88,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xy v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x04,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x04,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_load_sbyte_d16 v5, v[1:2] offset:4095 glc    ; encoding: [0xff,0x0f,0x89,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x89,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xy v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0x04,0xe0,0x00,0x05,0x02,0x03]
-0x00,0x00,0x04,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_load_sbyte_d16 v5, v[1:2] offset:4095 slc    ; encoding: [0xff,0x0f,0x8a,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x8a,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xy v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x04,0xe0,0x00,0x05,0x02,0x03]
-0x07,0x00,0x04,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_load_sbyte_d16_hi v5, v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x8c,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x8c,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xy v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x04,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x04,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_load_sbyte_d16_hi v255, v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x8c,0xdc,0x01,0x00,0x00,0xff]
+0xff,0x0f,0x8c,0xdc,0x01,0x00,0x00,0xff
 
-# CHECK: buffer_load_format_xy v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x06,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x06,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_load_sbyte_d16_hi v5, v[254:255] offset:4095    ; encoding: [0xff,0x0f,0x8c,0xdc,0xfe,0x00,0x00,0x05]
+0xff,0x0f,0x8c,0xdc,0xfe,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xyz v[5:7], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_load_sbyte_d16_hi v5, v[1:2]    ; encoding: [0x00,0x00,0x8c,0xdc,0x01,0x00,0x00,0x05]
+0x00,0x00,0x8c,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xyz v[253:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe0,0x00,0xfd,0x02,0x03]
-0xff,0x0f,0x08,0xe0,0x00,0xfd,0x02,0x03
+# CHECK: flat_load_sbyte_d16_hi v5, v[1:2] offset:7    ; encoding: [0x07,0x00,0x8c,0xdc,0x01,0x00,0x00,0x05]
+0x07,0x00,0x8c,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xyz v[5:7], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe0,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x08,0xe0,0x00,0x05,0x03,0x03
+# CHECK: flat_load_sbyte_d16_hi v5, v[1:2] offset:4095 glc    ; encoding: [0xff,0x0f,0x8d,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x8d,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xyz v[5:7], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe0,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x08,0xe0,0x00,0x05,0x18,0x03
+# CHECK: flat_load_sbyte_d16_hi v5, v[1:2] offset:4095 slc    ; encoding: [0xff,0x0f,0x8e,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x8e,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xyz v[5:7], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0x65
+# CHECK: flat_load_short_d16 v5, v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x90,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x90,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xyz v[5:7], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0x7c
+# CHECK: flat_load_short_d16 v255, v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x90,0xdc,0x01,0x00,0x00,0xff]
+0xff,0x0f,0x90,0xdc,0x01,0x00,0x00,0xff
 
-# CHECK: buffer_load_format_xyz v[5:7], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0x80
+# CHECK: flat_load_short_d16 v5, v[254:255] offset:4095    ; encoding: [0xff,0x0f,0x90,0xdc,0xfe,0x00,0x00,0x05]
+0xff,0x0f,0x90,0xdc,0xfe,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xyz v[5:7], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0xc1
+# CHECK: flat_load_short_d16 v5, v[1:2]    ; encoding: [0x00,0x00,0x90,0xdc,0x01,0x00,0x00,0x05]
+0x00,0x00,0x90,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xyz v[5:7], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0xf0
+# CHECK: flat_load_short_d16 v5, v[1:2] offset:7    ; encoding: [0x07,0x00,0x90,0xdc,0x01,0x00,0x00,0x05]
+0x07,0x00,0x90,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xyz v[5:7], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0xf7
+# CHECK: flat_load_short_d16 v5, v[1:2] offset:4095 glc    ; encoding: [0xff,0x0f,0x91,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x91,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xyz v[5:7], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x08,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x08,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_load_short_d16 v5, v[1:2] offset:4095 slc    ; encoding: [0xff,0x0f,0x92,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x92,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xyz v[5:7], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x08,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x08,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_load_short_d16_hi v5, v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x94,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x94,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xyz v[5:7], off, s[8:11], s3    ; encoding: [0x00,0x00,0x08,0xe0,0x00,0x05,0x02,0x03]
-0x00,0x00,0x08,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_load_short_d16_hi v255, v[1:2] offset:4095    ; encoding: [0xff,0x0f,0x94,0xdc,0x01,0x00,0x00,0xff]
+0xff,0x0f,0x94,0xdc,0x01,0x00,0x00,0xff
 
-# CHECK: buffer_load_format_xyz v[5:7], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x08,0xe0,0x00,0x05,0x02,0x03]
-0x07,0x00,0x08,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_load_short_d16_hi v5, v[254:255] offset:4095    ; encoding: [0xff,0x0f,0x94,0xdc,0xfe,0x00,0x00,0x05]
+0xff,0x0f,0x94,0xdc,0xfe,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xyz v[5:7], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x08,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x08,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_load_short_d16_hi v5, v[1:2]    ; encoding: [0x00,0x00,0x94,0xdc,0x01,0x00,0x00,0x05]
+0x00,0x00,0x94,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xyz v[5:7], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x0a,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x0a,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_load_short_d16_hi v5, v[1:2] offset:7    ; encoding: [0x07,0x00,0x94,0xdc,0x01,0x00,0x00,0x05]
+0x07,0x00,0x94,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xyzw v[5:8], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_load_short_d16_hi v5, v[1:2] offset:4095 glc    ; encoding: [0xff,0x0f,0x95,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x95,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xyzw v[252:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe0,0x00,0xfc,0x02,0x03]
-0xff,0x0f,0x0c,0xe0,0x00,0xfc,0x02,0x03
+# CHECK: flat_load_short_d16_hi v5, v[1:2] offset:4095 slc    ; encoding: [0xff,0x0f,0x96,0xdc,0x01,0x00,0x00,0x05]
+0xff,0x0f,0x96,0xdc,0x01,0x00,0x00,0x05
 
-# CHECK: buffer_load_format_xyzw v[5:8], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe0,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x0c,0xe0,0x00,0x05,0x03,0x03
+# CHECK: flat_atomic_swap v[1:2], v2 offset:4095    ; encoding: [0xff,0x0f,0x00,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x00,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_format_xyzw v[5:8], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe0,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x0c,0xe0,0x00,0x05,0x18,0x03
+# CHECK: flat_atomic_swap v[254:255], v2 offset:4095    ; encoding: [0xff,0x0f,0x00,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x00,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_load_format_xyzw v[5:8], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0x65
+# CHECK: flat_atomic_swap v[1:2], v255 offset:4095    ; encoding: [0xff,0x0f,0x00,0xdd,0x01,0xff,0x00,0x00]
+0xff,0x0f,0x00,0xdd,0x01,0xff,0x00,0x00
 
-# CHECK: buffer_load_format_xyzw v[5:8], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0x7c
+# CHECK: flat_atomic_swap v[1:2], v2    ; encoding: [0x00,0x00,0x00,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0x00,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_format_xyzw v[5:8], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0x80
+# CHECK: flat_atomic_swap v[1:2], v2 offset:7    ; encoding: [0x07,0x00,0x00,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0x00,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_format_xyzw v[5:8], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0xc1
+# CHECK: flat_atomic_swap v0, v[1:2], v2 offset:4095 glc    ; encoding: [0xff,0x0f,0x01,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x01,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_format_xyzw v[5:8], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0xf0
+# CHECK: flat_atomic_swap v[1:2], v2 offset:4095 slc    ; encoding: [0xff,0x0f,0x02,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x02,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_format_xyzw v[5:8], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0xf7
+# CHECK: flat_atomic_cmpswap v[1:2], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0x04,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x04,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_format_xyzw v[5:8], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x0c,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x0c,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_cmpswap v[254:255], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0x04,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x04,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_load_format_xyzw v[5:8], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x0c,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x0c,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_cmpswap v[1:2], v[254:255] offset:4095    ; encoding: [0xff,0x0f,0x04,0xdd,0x01,0xfe,0x00,0x00]
+0xff,0x0f,0x04,0xdd,0x01,0xfe,0x00,0x00
 
-# CHECK: buffer_load_format_xyzw v[5:8], off, s[8:11], s3    ; encoding: [0x00,0x00,0x0c,0xe0,0x00,0x05,0x02,0x03]
-0x00,0x00,0x0c,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_cmpswap v[1:2], v[2:3]    ; encoding: [0x00,0x00,0x04,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0x04,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_format_xyzw v[5:8], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x0c,0xe0,0x00,0x05,0x02,0x03]
-0x07,0x00,0x0c,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_cmpswap v[1:2], v[2:3] offset:7    ; encoding: [0x07,0x00,0x04,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0x04,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_format_xyzw v[5:8], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x0c,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x0c,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_cmpswap v0, v[1:2], v[2:3] offset:4095 glc    ; encoding: [0xff,0x0f,0x05,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x05,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_format_xyzw v[5:8], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x0e,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x0e,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_cmpswap v[1:2], v[2:3] offset:4095 slc    ; encoding: [0xff,0x0f,0x06,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x06,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_x v1, off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_add v[1:2], v2 offset:4095    ; encoding: [0xff,0x0f,0x08,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x08,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_x v255, off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe0,0x00,0xff,0x03,0x04]
-0xff,0x0f,0x10,0xe0,0x00,0xff,0x03,0x04
+# CHECK: flat_atomic_add v[254:255], v2 offset:4095    ; encoding: [0xff,0x0f,0x08,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x08,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_x v1, off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe0,0x00,0x01,0x04,0x04]
-0xff,0x0f,0x10,0xe0,0x00,0x01,0x04,0x04
+# CHECK: flat_atomic_add v[1:2], v255 offset:4095    ; encoding: [0xff,0x0f,0x08,0xdd,0x01,0xff,0x00,0x00]
+0xff,0x0f,0x08,0xdd,0x01,0xff,0x00,0x00
 
-# CHECK: buffer_store_format_x v1, off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe0,0x00,0x01,0x18,0x04]
-0xff,0x0f,0x10,0xe0,0x00,0x01,0x18,0x04
+# CHECK: flat_atomic_add v[1:2], v2    ; encoding: [0x00,0x00,0x08,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0x08,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_x v1, off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0x65]
-0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0x65
+# CHECK: flat_atomic_add v[1:2], v2 offset:7    ; encoding: [0x07,0x00,0x08,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0x08,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_x v1, off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0x7c]
-0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0x7c
+# CHECK: flat_atomic_add v0, v[1:2], v2 offset:4095 glc    ; encoding: [0xff,0x0f,0x09,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x09,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_x v1, off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0x80]
-0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0x80
+# CHECK: flat_atomic_add v[1:2], v2 offset:4095 slc    ; encoding: [0xff,0x0f,0x0a,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x0a,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_x v1, off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0xc1]
-0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0xc1
+# CHECK: flat_atomic_sub v[1:2], v2 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x0c,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_x v1, off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0xf0]
-0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0xf0
+# CHECK: flat_atomic_sub v[254:255], v2 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x0c,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_x v1, off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0xf7]
-0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0xf7
+# CHECK: flat_atomic_sub v[1:2], v255 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xdd,0x01,0xff,0x00,0x00]
+0xff,0x0f,0x0c,0xdd,0x01,0xff,0x00,0x00
 
-# CHECK: buffer_store_format_x v1, v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x10,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x2f,0x10,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_sub v[1:2], v2    ; encoding: [0x00,0x00,0x0c,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0x0c,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_x v1, v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x10,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x1f,0x10,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_sub v[1:2], v2 offset:7    ; encoding: [0x07,0x00,0x0c,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0x0c,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_x v1, off, s[12:15], s4    ; encoding: [0x00,0x00,0x10,0xe0,0x00,0x01,0x03,0x04]
-0x00,0x00,0x10,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_sub v0, v[1:2], v2 offset:4095 glc    ; encoding: [0xff,0x0f,0x0d,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x0d,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_x v1, off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x10,0xe0,0x00,0x01,0x03,0x04]
-0x07,0x00,0x10,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_sub v[1:2], v2 offset:4095 slc    ; encoding: [0xff,0x0f,0x0e,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x0e,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_x v1, off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x10,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x4f,0x10,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_smin v[1:2], v2 offset:4095    ; encoding: [0xff,0x0f,0x10,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x10,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_x v1, off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x12,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x0f,0x12,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_smin v[254:255], v2 offset:4095    ; encoding: [0xff,0x0f,0x10,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x10,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xy v[1:2], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_smin v[1:2], v255 offset:4095    ; encoding: [0xff,0x0f,0x10,0xdd,0x01,0xff,0x00,0x00]
+0xff,0x0f,0x10,0xdd,0x01,0xff,0x00,0x00
 
-# CHECK: buffer_store_format_xy v[254:255], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe0,0x00,0xfe,0x03,0x04]
-0xff,0x0f,0x14,0xe0,0x00,0xfe,0x03,0x04
+# CHECK: flat_atomic_smin v[1:2], v2    ; encoding: [0x00,0x00,0x10,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0x10,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xy v[1:2], off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe0,0x00,0x01,0x04,0x04]
-0xff,0x0f,0x14,0xe0,0x00,0x01,0x04,0x04
+# CHECK: flat_atomic_smin v[1:2], v2 offset:7    ; encoding: [0x07,0x00,0x10,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0x10,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xy v[1:2], off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe0,0x00,0x01,0x18,0x04]
-0xff,0x0f,0x14,0xe0,0x00,0x01,0x18,0x04
+# CHECK: flat_atomic_smin v0, v[1:2], v2 offset:4095 glc    ; encoding: [0xff,0x0f,0x11,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x11,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xy v[1:2], off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0x65]
-0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0x65
+# CHECK: flat_atomic_smin v[1:2], v2 offset:4095 slc    ; encoding: [0xff,0x0f,0x12,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x12,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xy v[1:2], off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0x7c]
-0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0x7c
+# CHECK: flat_atomic_umin v[1:2], v2 offset:4095    ; encoding: [0xff,0x0f,0x14,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x14,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xy v[1:2], off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0x80]
-0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0x80
+# CHECK: flat_atomic_umin v[254:255], v2 offset:4095    ; encoding: [0xff,0x0f,0x14,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x14,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xy v[1:2], off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0xc1]
-0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0xc1
+# CHECK: flat_atomic_umin v[1:2], v255 offset:4095    ; encoding: [0xff,0x0f,0x14,0xdd,0x01,0xff,0x00,0x00]
+0xff,0x0f,0x14,0xdd,0x01,0xff,0x00,0x00
 
-# CHECK: buffer_store_format_xy v[1:2], off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0xf0]
-0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0xf0
+# CHECK: flat_atomic_umin v[1:2], v2    ; encoding: [0x00,0x00,0x14,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0x14,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xy v[1:2], off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0xf7]
-0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0xf7
+# CHECK: flat_atomic_umin v[1:2], v2 offset:7    ; encoding: [0x07,0x00,0x14,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0x14,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xy v[1:2], v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x14,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x2f,0x14,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_umin v0, v[1:2], v2 offset:4095 glc    ; encoding: [0xff,0x0f,0x15,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x15,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xy v[1:2], v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x14,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x1f,0x14,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_umin v[1:2], v2 offset:4095 slc    ; encoding: [0xff,0x0f,0x16,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x16,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xy v[1:2], off, s[12:15], s4    ; encoding: [0x00,0x00,0x14,0xe0,0x00,0x01,0x03,0x04]
-0x00,0x00,0x14,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_smax v[1:2], v2 offset:4095    ; encoding: [0xff,0x0f,0x18,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x18,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xy v[1:2], off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x14,0xe0,0x00,0x01,0x03,0x04]
-0x07,0x00,0x14,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_smax v[254:255], v2 offset:4095    ; encoding: [0xff,0x0f,0x18,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x18,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xy v[1:2], off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x14,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x4f,0x14,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_smax v[1:2], v255 offset:4095    ; encoding: [0xff,0x0f,0x18,0xdd,0x01,0xff,0x00,0x00]
+0xff,0x0f,0x18,0xdd,0x01,0xff,0x00,0x00
 
-# CHECK: buffer_store_format_xy v[1:2], off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x16,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x0f,0x16,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_smax v[1:2], v2    ; encoding: [0x00,0x00,0x18,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0x18,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyz v[1:3], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_smax v[1:2], v2 offset:7    ; encoding: [0x07,0x00,0x18,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0x18,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyz v[253:255], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe0,0x00,0xfd,0x03,0x04]
-0xff,0x0f,0x18,0xe0,0x00,0xfd,0x03,0x04
+# CHECK: flat_atomic_smax v0, v[1:2], v2 offset:4095 glc    ; encoding: [0xff,0x0f,0x19,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x19,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyz v[1:3], off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe0,0x00,0x01,0x04,0x04]
-0xff,0x0f,0x18,0xe0,0x00,0x01,0x04,0x04
+# CHECK: flat_atomic_smax v[1:2], v2 offset:4095 slc    ; encoding: [0xff,0x0f,0x1a,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x1a,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyz v[1:3], off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe0,0x00,0x01,0x18,0x04]
-0xff,0x0f,0x18,0xe0,0x00,0x01,0x18,0x04
+# CHECK: flat_atomic_umax v[1:2], v2 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x1c,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyz v[1:3], off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0x65]
-0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0x65
+# CHECK: flat_atomic_umax v[254:255], v2 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x1c,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyz v[1:3], off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0x7c]
-0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0x7c
+# CHECK: flat_atomic_umax v[1:2], v255 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xdd,0x01,0xff,0x00,0x00]
+0xff,0x0f,0x1c,0xdd,0x01,0xff,0x00,0x00
 
-# CHECK: buffer_store_format_xyz v[1:3], off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0x80]
-0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0x80
+# CHECK: flat_atomic_umax v[1:2], v2    ; encoding: [0x00,0x00,0x1c,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0x1c,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyz v[1:3], off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0xc1]
-0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0xc1
+# CHECK: flat_atomic_umax v[1:2], v2 offset:7    ; encoding: [0x07,0x00,0x1c,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0x1c,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyz v[1:3], off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0xf0]
-0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0xf0
+# CHECK: flat_atomic_umax v0, v[1:2], v2 offset:4095 glc    ; encoding: [0xff,0x0f,0x1d,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x1d,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyz v[1:3], off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0xf7]
-0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0xf7
+# CHECK: flat_atomic_umax v[1:2], v2 offset:4095 slc    ; encoding: [0xff,0x0f,0x1e,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x1e,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyz v[1:3], v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x18,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x2f,0x18,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_and v[1:2], v2 offset:4095    ; encoding: [0xff,0x0f,0x20,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x20,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyz v[1:3], v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x18,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x1f,0x18,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_and v[254:255], v2 offset:4095    ; encoding: [0xff,0x0f,0x20,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x20,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyz v[1:3], off, s[12:15], s4    ; encoding: [0x00,0x00,0x18,0xe0,0x00,0x01,0x03,0x04]
-0x00,0x00,0x18,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_and v[1:2], v255 offset:4095    ; encoding: [0xff,0x0f,0x20,0xdd,0x01,0xff,0x00,0x00]
+0xff,0x0f,0x20,0xdd,0x01,0xff,0x00,0x00
 
-# CHECK: buffer_store_format_xyz v[1:3], off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x18,0xe0,0x00,0x01,0x03,0x04]
-0x07,0x00,0x18,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_and v[1:2], v2    ; encoding: [0x00,0x00,0x20,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0x20,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyz v[1:3], off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x18,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x4f,0x18,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_and v[1:2], v2 offset:7    ; encoding: [0x07,0x00,0x20,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0x20,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyz v[1:3], off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x1a,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x0f,0x1a,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_and v0, v[1:2], v2 offset:4095 glc    ; encoding: [0xff,0x0f,0x21,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x21,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyzw v[1:4], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_and v[1:2], v2 offset:4095 slc    ; encoding: [0xff,0x0f,0x22,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x22,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyzw v[252:255], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe0,0x00,0xfc,0x03,0x04]
-0xff,0x0f,0x1c,0xe0,0x00,0xfc,0x03,0x04
+# CHECK: flat_atomic_or v[1:2], v2 offset:4095    ; encoding: [0xff,0x0f,0x24,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x24,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyzw v[1:4], off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe0,0x00,0x01,0x04,0x04]
-0xff,0x0f,0x1c,0xe0,0x00,0x01,0x04,0x04
+# CHECK: flat_atomic_or v[254:255], v2 offset:4095    ; encoding: [0xff,0x0f,0x24,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x24,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyzw v[1:4], off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe0,0x00,0x01,0x18,0x04]
-0xff,0x0f,0x1c,0xe0,0x00,0x01,0x18,0x04
+# CHECK: flat_atomic_or v[1:2], v255 offset:4095    ; encoding: [0xff,0x0f,0x24,0xdd,0x01,0xff,0x00,0x00]
+0xff,0x0f,0x24,0xdd,0x01,0xff,0x00,0x00
 
-# CHECK: buffer_store_format_xyzw v[1:4], off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0x65]
-0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0x65
+# CHECK: flat_atomic_or v[1:2], v2    ; encoding: [0x00,0x00,0x24,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0x24,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyzw v[1:4], off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0x7c]
-0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0x7c
+# CHECK: flat_atomic_or v[1:2], v2 offset:7    ; encoding: [0x07,0x00,0x24,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0x24,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyzw v[1:4], off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0x80]
-0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0x80
+# CHECK: flat_atomic_or v0, v[1:2], v2 offset:4095 glc    ; encoding: [0xff,0x0f,0x25,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x25,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyzw v[1:4], off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0xc1]
-0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0xc1
+# CHECK: flat_atomic_or v[1:2], v2 offset:4095 slc    ; encoding: [0xff,0x0f,0x26,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x26,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyzw v[1:4], off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0xf0]
-0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0xf0
+# CHECK: flat_atomic_xor v[1:2], v2 offset:4095    ; encoding: [0xff,0x0f,0x28,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x28,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyzw v[1:4], off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0xf7]
-0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0xf7
+# CHECK: flat_atomic_xor v[254:255], v2 offset:4095    ; encoding: [0xff,0x0f,0x28,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x28,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyzw v[1:4], v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x1c,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x2f,0x1c,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_xor v[1:2], v255 offset:4095    ; encoding: [0xff,0x0f,0x28,0xdd,0x01,0xff,0x00,0x00]
+0xff,0x0f,0x28,0xdd,0x01,0xff,0x00,0x00
 
-# CHECK: buffer_store_format_xyzw v[1:4], v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x1c,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x1f,0x1c,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_xor v[1:2], v2    ; encoding: [0x00,0x00,0x28,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0x28,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyzw v[1:4], off, s[12:15], s4    ; encoding: [0x00,0x00,0x1c,0xe0,0x00,0x01,0x03,0x04]
-0x00,0x00,0x1c,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_xor v[1:2], v2 offset:7    ; encoding: [0x07,0x00,0x28,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0x28,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyzw v[1:4], off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x1c,0xe0,0x00,0x01,0x03,0x04]
-0x07,0x00,0x1c,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_xor v0, v[1:2], v2 offset:4095 glc    ; encoding: [0xff,0x0f,0x29,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x29,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyzw v[1:4], off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x1c,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x4f,0x1c,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_xor v[1:2], v2 offset:4095 slc    ; encoding: [0xff,0x0f,0x2a,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x2a,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_store_format_xyzw v[1:4], off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x1e,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x0f,0x1e,0xe0,0x00,0x01,0x03,0x04
+# CHECK: flat_atomic_inc v[1:2], v2 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x2c,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_ubyte v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_inc v[254:255], v2 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x2c,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_load_ubyte v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x40,0xe0,0x00,0xff,0x02,0x03]
-0xff,0x0f,0x40,0xe0,0x00,0xff,0x02,0x03
+# CHECK: flat_atomic_inc v[1:2], v255 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xdd,0x01,0xff,0x00,0x00]
+0xff,0x0f,0x2c,0xdd,0x01,0xff,0x00,0x00
 
-# CHECK: buffer_load_ubyte v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x40,0xe0,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x40,0xe0,0x00,0x05,0x03,0x03
+# CHECK: flat_atomic_inc v[1:2], v2    ; encoding: [0x00,0x00,0x2c,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0x2c,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_ubyte v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x40,0xe0,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x40,0xe0,0x00,0x05,0x18,0x03
+# CHECK: flat_atomic_inc v[1:2], v2 offset:7    ; encoding: [0x07,0x00,0x2c,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0x2c,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_ubyte v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0x65
+# CHECK: flat_atomic_inc v0, v[1:2], v2 offset:4095 glc    ; encoding: [0xff,0x0f,0x2d,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x2d,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_ubyte v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0x7c
+# CHECK: flat_atomic_inc v[1:2], v2 offset:4095 slc    ; encoding: [0xff,0x0f,0x2e,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x2e,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_ubyte v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0x80
+# CHECK: flat_atomic_dec v[1:2], v2 offset:4095    ; encoding: [0xff,0x0f,0x30,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x30,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_ubyte v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0xc1
+# CHECK: flat_atomic_dec v[254:255], v2 offset:4095    ; encoding: [0xff,0x0f,0x30,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x30,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_load_ubyte v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0xf0
+# CHECK: flat_atomic_dec v[1:2], v255 offset:4095    ; encoding: [0xff,0x0f,0x30,0xdd,0x01,0xff,0x00,0x00]
+0xff,0x0f,0x30,0xdd,0x01,0xff,0x00,0x00
 
-# CHECK: buffer_load_ubyte v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0xf7
+# CHECK: flat_atomic_dec v[1:2], v2    ; encoding: [0x00,0x00,0x30,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0x30,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_ubyte v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x40,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x40,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_dec v[1:2], v2 offset:7    ; encoding: [0x07,0x00,0x30,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0x30,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_ubyte v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x40,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x40,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_dec v0, v[1:2], v2 offset:4095 glc    ; encoding: [0xff,0x0f,0x31,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x31,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_ubyte v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x40,0xe0,0x00,0x05,0x02,0x03]
-0x00,0x00,0x40,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_dec v[1:2], v2 offset:4095 slc    ; encoding: [0xff,0x0f,0x32,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x32,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_ubyte v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x40,0xe0,0x00,0x05,0x02,0x03]
-0x07,0x00,0x40,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_swap_x2 v[1:2], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0x80,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x80,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_ubyte v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x40,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x40,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_swap_x2 v[254:255], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0x80,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x80,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_load_ubyte v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x42,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x42,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_swap_x2 v[1:2], v[254:255] offset:4095    ; encoding: [0xff,0x0f,0x80,0xdd,0x01,0xfe,0x00,0x00]
+0xff,0x0f,0x80,0xdd,0x01,0xfe,0x00,0x00
 
-# CHECK: buffer_load_sbyte v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_swap_x2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0x80,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0x80,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_sbyte v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x44,0xe0,0x00,0xff,0x02,0x03]
-0xff,0x0f,0x44,0xe0,0x00,0xff,0x02,0x03
+# CHECK: flat_atomic_swap_x2 v[1:2], v[2:3] offset:7    ; encoding: [0x07,0x00,0x80,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0x80,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_sbyte v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x44,0xe0,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x44,0xe0,0x00,0x05,0x03,0x03
+# CHECK: flat_atomic_swap_x2 v[0:1], v[1:2], v[2:3] offset:4095 glc    ; encoding: [0xff,0x0f,0x81,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x81,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_sbyte v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x44,0xe0,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x44,0xe0,0x00,0x05,0x18,0x03
+# CHECK: flat_atomic_swap_x2 v[1:2], v[2:3] offset:4095 slc    ; encoding: [0xff,0x0f,0x82,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x82,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_sbyte v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0x65
+# CHECK: flat_atomic_cmpswap_x2 v[1:2], v[2:5] offset:4095    ; encoding: [0xff,0x0f,0x84,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x84,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_sbyte v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0x7c
+# CHECK: flat_atomic_cmpswap_x2 v[254:255], v[2:5] offset:4095    ; encoding: [0xff,0x0f,0x84,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x84,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_load_sbyte v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0x80
+# CHECK: flat_atomic_cmpswap_x2 v[1:2], v[252:255] offset:4095    ; encoding: [0xff,0x0f,0x84,0xdd,0x01,0xfc,0x00,0x00]
+0xff,0x0f,0x84,0xdd,0x01,0xfc,0x00,0x00
 
-# CHECK: buffer_load_sbyte v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0xc1
+# CHECK: flat_atomic_cmpswap_x2 v[1:2], v[2:5]    ; encoding: [0x00,0x00,0x84,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0x84,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_sbyte v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0xf0
+# CHECK: flat_atomic_cmpswap_x2 v[1:2], v[2:5] offset:7    ; encoding: [0x07,0x00,0x84,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0x84,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_sbyte v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0xf7
+# CHECK: flat_atomic_cmpswap_x2 v[0:1], v[1:2], v[2:5] offset:4095 glc    ; encoding: [0xff,0x0f,0x85,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x85,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_sbyte v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x44,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x44,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_cmpswap_x2 v[1:2], v[2:5] offset:4095 slc    ; encoding: [0xff,0x0f,0x86,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x86,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_sbyte v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x44,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x44,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_add_x2 v[1:2], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0x88,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x88,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_sbyte v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x44,0xe0,0x00,0x05,0x02,0x03]
-0x00,0x00,0x44,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_add_x2 v[254:255], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0x88,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x88,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_load_sbyte v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x44,0xe0,0x00,0x05,0x02,0x03]
-0x07,0x00,0x44,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_add_x2 v[1:2], v[254:255] offset:4095    ; encoding: [0xff,0x0f,0x88,0xdd,0x01,0xfe,0x00,0x00]
+0xff,0x0f,0x88,0xdd,0x01,0xfe,0x00,0x00
 
-# CHECK: buffer_load_sbyte v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x44,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x44,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_add_x2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0x88,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0x88,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_sbyte v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x46,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x46,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_add_x2 v[1:2], v[2:3] offset:7    ; encoding: [0x07,0x00,0x88,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0x88,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_ushort v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_add_x2 v[0:1], v[1:2], v[2:3] offset:4095 glc    ; encoding: [0xff,0x0f,0x89,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x89,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_ushort v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x48,0xe0,0x00,0xff,0x02,0x03]
-0xff,0x0f,0x48,0xe0,0x00,0xff,0x02,0x03
+# CHECK: flat_atomic_add_x2 v[1:2], v[2:3] offset:4095 slc    ; encoding: [0xff,0x0f,0x8a,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x8a,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_ushort v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x48,0xe0,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x48,0xe0,0x00,0x05,0x03,0x03
+# CHECK: flat_atomic_sub_x2 v[1:2], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0x8c,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x8c,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_ushort v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x48,0xe0,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x48,0xe0,0x00,0x05,0x18,0x03
+# CHECK: flat_atomic_sub_x2 v[254:255], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0x8c,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x8c,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_load_ushort v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0x65
+# CHECK: flat_atomic_sub_x2 v[1:2], v[254:255] offset:4095    ; encoding: [0xff,0x0f,0x8c,0xdd,0x01,0xfe,0x00,0x00]
+0xff,0x0f,0x8c,0xdd,0x01,0xfe,0x00,0x00
 
-# CHECK: buffer_load_ushort v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0x7c
+# CHECK: flat_atomic_sub_x2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0x8c,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0x8c,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_ushort v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0x80
+# CHECK: flat_atomic_sub_x2 v[1:2], v[2:3] offset:7    ; encoding: [0x07,0x00,0x8c,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0x8c,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_ushort v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0xc1
+# CHECK: flat_atomic_sub_x2 v[0:1], v[1:2], v[2:3] offset:4095 glc    ; encoding: [0xff,0x0f,0x8d,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x8d,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_ushort v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0xf0
+# CHECK: flat_atomic_sub_x2 v[1:2], v[2:3] offset:4095 slc    ; encoding: [0xff,0x0f,0x8e,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x8e,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_ushort v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0xf7
+# CHECK: flat_atomic_smin_x2 v[1:2], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0x90,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x90,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_ushort v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x48,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x48,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_smin_x2 v[254:255], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0x90,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x90,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_load_ushort v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x48,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x48,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_smin_x2 v[1:2], v[254:255] offset:4095    ; encoding: [0xff,0x0f,0x90,0xdd,0x01,0xfe,0x00,0x00]
+0xff,0x0f,0x90,0xdd,0x01,0xfe,0x00,0x00
 
-# CHECK: buffer_load_ushort v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x48,0xe0,0x00,0x05,0x02,0x03]
-0x00,0x00,0x48,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_smin_x2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0x90,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0x90,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_ushort v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x48,0xe0,0x00,0x05,0x02,0x03]
-0x07,0x00,0x48,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_smin_x2 v[1:2], v[2:3] offset:7    ; encoding: [0x07,0x00,0x90,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0x90,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_ushort v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x48,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x48,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_smin_x2 v[0:1], v[1:2], v[2:3] offset:4095 glc    ; encoding: [0xff,0x0f,0x91,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x91,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_ushort v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x4a,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x4a,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_smin_x2 v[1:2], v[2:3] offset:4095 slc    ; encoding: [0xff,0x0f,0x92,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x92,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_sshort v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_umin_x2 v[1:2], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0x94,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x94,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_sshort v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x4c,0xe0,0x00,0xff,0x02,0x03]
-0xff,0x0f,0x4c,0xe0,0x00,0xff,0x02,0x03
+# CHECK: flat_atomic_umin_x2 v[254:255], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0x94,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x94,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_load_sshort v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x4c,0xe0,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x4c,0xe0,0x00,0x05,0x03,0x03
+# CHECK: flat_atomic_umin_x2 v[1:2], v[254:255] offset:4095    ; encoding: [0xff,0x0f,0x94,0xdd,0x01,0xfe,0x00,0x00]
+0xff,0x0f,0x94,0xdd,0x01,0xfe,0x00,0x00
 
-# CHECK: buffer_load_sshort v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x4c,0xe0,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x4c,0xe0,0x00,0x05,0x18,0x03
+# CHECK: flat_atomic_umin_x2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0x94,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0x94,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_sshort v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0x65
+# CHECK: flat_atomic_umin_x2 v[1:2], v[2:3] offset:7    ; encoding: [0x07,0x00,0x94,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0x94,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_sshort v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0x7c
+# CHECK: flat_atomic_umin_x2 v[0:1], v[1:2], v[2:3] offset:4095 glc    ; encoding: [0xff,0x0f,0x95,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x95,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_sshort v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0x80
+# CHECK: flat_atomic_umin_x2 v[1:2], v[2:3] offset:4095 slc    ; encoding: [0xff,0x0f,0x96,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x96,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_sshort v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0xc1
+# CHECK: flat_atomic_smax_x2 v[1:2], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0x98,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x98,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_sshort v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0xf0
+# CHECK: flat_atomic_smax_x2 v[254:255], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0x98,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x98,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_load_sshort v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0xf7
+# CHECK: flat_atomic_smax_x2 v[1:2], v[254:255] offset:4095    ; encoding: [0xff,0x0f,0x98,0xdd,0x01,0xfe,0x00,0x00]
+0xff,0x0f,0x98,0xdd,0x01,0xfe,0x00,0x00
 
-# CHECK: buffer_load_sshort v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x4c,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x4c,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_smax_x2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0x98,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0x98,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_sshort v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x4c,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x4c,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_smax_x2 v[1:2], v[2:3] offset:7    ; encoding: [0x07,0x00,0x98,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0x98,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_sshort v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x4c,0xe0,0x00,0x05,0x02,0x03]
-0x00,0x00,0x4c,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_smax_x2 v[0:1], v[1:2], v[2:3] offset:4095 glc    ; encoding: [0xff,0x0f,0x99,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x99,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_sshort v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x4c,0xe0,0x00,0x05,0x02,0x03]
-0x07,0x00,0x4c,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_smax_x2 v[1:2], v[2:3] offset:4095 slc    ; encoding: [0xff,0x0f,0x9a,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x9a,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_sshort v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x4c,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x4c,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_umax_x2 v[1:2], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0x9c,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x9c,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_sshort v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x4e,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x4e,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_umax_x2 v[254:255], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0x9c,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0x9c,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_load_dword v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_umax_x2 v[1:2], v[254:255] offset:4095    ; encoding: [0xff,0x0f,0x9c,0xdd,0x01,0xfe,0x00,0x00]
+0xff,0x0f,0x9c,0xdd,0x01,0xfe,0x00,0x00
 
-# CHECK: buffer_load_dword v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x50,0xe0,0x00,0xff,0x02,0x03]
-0xff,0x0f,0x50,0xe0,0x00,0xff,0x02,0x03
+# CHECK: flat_atomic_umax_x2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0x9c,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0x9c,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dword v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x50,0xe0,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x50,0xe0,0x00,0x05,0x03,0x03
+# CHECK: flat_atomic_umax_x2 v[1:2], v[2:3] offset:7    ; encoding: [0x07,0x00,0x9c,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0x9c,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dword v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x50,0xe0,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x50,0xe0,0x00,0x05,0x18,0x03
+# CHECK: flat_atomic_umax_x2 v[0:1], v[1:2], v[2:3] offset:4095 glc    ; encoding: [0xff,0x0f,0x9d,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x9d,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dword v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0x65
+# CHECK: flat_atomic_umax_x2 v[1:2], v[2:3] offset:4095 slc    ; encoding: [0xff,0x0f,0x9e,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0x9e,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dword v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0x7c
+# CHECK: flat_atomic_and_x2 v[1:2], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0xa0,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0xa0,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dword v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0x80
+# CHECK: flat_atomic_and_x2 v[254:255], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0xa0,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0xa0,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_load_dword v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0xc1
+# CHECK: flat_atomic_and_x2 v[1:2], v[254:255] offset:4095    ; encoding: [0xff,0x0f,0xa0,0xdd,0x01,0xfe,0x00,0x00]
+0xff,0x0f,0xa0,0xdd,0x01,0xfe,0x00,0x00
 
-# CHECK: buffer_load_dword v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0xf0
+# CHECK: flat_atomic_and_x2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0xa0,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0xa0,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dword v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0xf7
+# CHECK: flat_atomic_and_x2 v[1:2], v[2:3] offset:7    ; encoding: [0x07,0x00,0xa0,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0xa0,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dword v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x50,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x50,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_and_x2 v[0:1], v[1:2], v[2:3] offset:4095 glc    ; encoding: [0xff,0x0f,0xa1,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0xa1,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dword v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x50,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x50,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_and_x2 v[1:2], v[2:3] offset:4095 slc    ; encoding: [0xff,0x0f,0xa2,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0xa2,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dword v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x50,0xe0,0x00,0x05,0x02,0x03]
-0x00,0x00,0x50,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_or_x2 v[1:2], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0xa4,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0xa4,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dword v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x50,0xe0,0x00,0x05,0x02,0x03]
-0x07,0x00,0x50,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_or_x2 v[254:255], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0xa4,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0xa4,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_load_dword v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x50,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x50,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_or_x2 v[1:2], v[254:255] offset:4095    ; encoding: [0xff,0x0f,0xa4,0xdd,0x01,0xfe,0x00,0x00]
+0xff,0x0f,0xa4,0xdd,0x01,0xfe,0x00,0x00
 
-# CHECK: buffer_load_dword v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x52,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x52,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_or_x2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0xa4,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0xa4,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dwordx2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_or_x2 v[1:2], v[2:3] offset:7    ; encoding: [0x07,0x00,0xa4,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0xa4,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dwordx2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x54,0xe0,0x00,0xfe,0x02,0x03]
-0xff,0x0f,0x54,0xe0,0x00,0xfe,0x02,0x03
+# CHECK: flat_atomic_or_x2 v[0:1], v[1:2], v[2:3] offset:4095 glc    ; encoding: [0xff,0x0f,0xa5,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0xa5,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dwordx2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x54,0xe0,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x54,0xe0,0x00,0x05,0x03,0x03
+# CHECK: flat_atomic_or_x2 v[1:2], v[2:3] offset:4095 slc    ; encoding: [0xff,0x0f,0xa6,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0xa6,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dwordx2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x54,0xe0,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x54,0xe0,0x00,0x05,0x18,0x03
+# CHECK: flat_atomic_xor_x2 v[1:2], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0xa8,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0xa8,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dwordx2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0x65
+# CHECK: flat_atomic_xor_x2 v[254:255], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0xa8,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0xa8,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_load_dwordx2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0x7c
+# CHECK: flat_atomic_xor_x2 v[1:2], v[254:255] offset:4095    ; encoding: [0xff,0x0f,0xa8,0xdd,0x01,0xfe,0x00,0x00]
+0xff,0x0f,0xa8,0xdd,0x01,0xfe,0x00,0x00
 
-# CHECK: buffer_load_dwordx2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0x80
+# CHECK: flat_atomic_xor_x2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0xa8,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0xa8,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dwordx2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0xc1
+# CHECK: flat_atomic_xor_x2 v[1:2], v[2:3] offset:7    ; encoding: [0x07,0x00,0xa8,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0xa8,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dwordx2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0xf0
+# CHECK: flat_atomic_xor_x2 v[0:1], v[1:2], v[2:3] offset:4095 glc    ; encoding: [0xff,0x0f,0xa9,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0xa9,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dwordx2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0xf7
+# CHECK: flat_atomic_xor_x2 v[1:2], v[2:3] offset:4095 slc    ; encoding: [0xff,0x0f,0xaa,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0xaa,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dwordx2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x54,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x54,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_inc_x2 v[1:2], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0xac,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0xac,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dwordx2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x54,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x54,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_inc_x2 v[254:255], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0xac,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0xac,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_load_dwordx2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0x54,0xe0,0x00,0x05,0x02,0x03]
-0x00,0x00,0x54,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_inc_x2 v[1:2], v[254:255] offset:4095    ; encoding: [0xff,0x0f,0xac,0xdd,0x01,0xfe,0x00,0x00]
+0xff,0x0f,0xac,0xdd,0x01,0xfe,0x00,0x00
 
-# CHECK: buffer_load_dwordx2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x54,0xe0,0x00,0x05,0x02,0x03]
-0x07,0x00,0x54,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_inc_x2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0xac,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0xac,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dwordx2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x54,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x54,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_inc_x2 v[1:2], v[2:3] offset:7    ; encoding: [0x07,0x00,0xac,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0xac,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dwordx2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x56,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x56,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_inc_x2 v[0:1], v[1:2], v[2:3] offset:4095 glc    ; encoding: [0xff,0x0f,0xad,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0xad,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dwordx3 v[5:7], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0x03
+# CHECK: flat_atomic_inc_x2 v[1:2], v[2:3] offset:4095 slc    ; encoding: [0xff,0x0f,0xae,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0xae,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dwordx3 v[253:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x58,0xe0,0x00,0xfd,0x02,0x03]
-0xff,0x0f,0x58,0xe0,0x00,0xfd,0x02,0x03
+# CHECK: flat_atomic_dec_x2 v[1:2], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0xb0,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0xb0,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dwordx3 v[5:7], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x58,0xe0,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x58,0xe0,0x00,0x05,0x03,0x03
+# CHECK: flat_atomic_dec_x2 v[254:255], v[2:3] offset:4095    ; encoding: [0xff,0x0f,0xb0,0xdd,0xfe,0x02,0x00,0x00]
+0xff,0x0f,0xb0,0xdd,0xfe,0x02,0x00,0x00
 
-# CHECK: buffer_load_dwordx3 v[5:7], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x58,0xe0,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x58,0xe0,0x00,0x05,0x18,0x03
+# CHECK: flat_atomic_dec_x2 v[1:2], v[254:255] offset:4095    ; encoding: [0xff,0x0f,0xb0,0xdd,0x01,0xfe,0x00,0x00]
+0xff,0x0f,0xb0,0xdd,0x01,0xfe,0x00,0x00
 
-# CHECK: buffer_load_dwordx3 v[5:7], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0x65
+# CHECK: flat_atomic_dec_x2 v[1:2], v[2:3]    ; encoding: [0x00,0x00,0xb0,0xdd,0x01,0x02,0x00,0x00]
+0x00,0x00,0xb0,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dwordx3 v[5:7], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0x7c
+# CHECK: flat_atomic_dec_x2 v[1:2], v[2:3] offset:7    ; encoding: [0x07,0x00,0xb0,0xdd,0x01,0x02,0x00,0x00]
+0x07,0x00,0xb0,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dwordx3 v[5:7], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0x80
+# CHECK: flat_atomic_dec_x2 v[0:1], v[1:2], v[2:3] offset:4095 glc    ; encoding: [0xff,0x0f,0xb1,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0xb1,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dwordx3 v[5:7], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0xc1
+# CHECK: flat_atomic_dec_x2 v[1:2], v[2:3] offset:4095 slc    ; encoding: [0xff,0x0f,0xb2,0xdd,0x01,0x02,0x00,0x00]
+0xff,0x0f,0xb2,0xdd,0x01,0x02,0x00,0x00
 
-# CHECK: buffer_load_dwordx3 v[5:7], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0xf0
+# CHECK: global_load_ubyte v5, v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x40,0xdc,0x01,0x00,0x7f,0x05]
+0xff,0x9f,0x40,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_load_dwordx3 v[5:7], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0xf7
+# CHECK: global_load_ubyte v255, v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x40,0xdc,0x01,0x00,0x7f,0xff]
+0xff,0x9f,0x40,0xdc,0x01,0x00,0x7f,0xff
 
-# CHECK: buffer_load_dwordx3 v[5:7], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x58,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x58,0xe0,0x00,0x05,0x02,0x03
+# CHECK: global_load_ubyte v5, v[1:2], off    ; encoding: [0x00,0x80,0x40,0xdc,0x01,0x00,0x7f,0x05]
+0x00,0x80,0x40,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_load_dwordx3 v[5:7], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x58,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x58,0xe0,0x00,0x05,0x02,0x03
+# CHECK: global_load_sbyte v5, v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x44,0xdc,0x01,0x00,0x7f,0x05]
+0xff,0x9f,0x44,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_load_dwordx3 v[5:7], off, s[8:11], s3    ; encoding: [0x00,0x00,0x58,0xe0,0x00,0x05,0x02,0x03]
-0x00,0x00,0x58,0xe0,0x00,0x05,0x02,0x03
+# CHECK: global_load_sbyte v255, v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x44,0xdc,0x01,0x00,0x7f,0xff]
+0xff,0x9f,0x44,0xdc,0x01,0x00,0x7f,0xff
 
-# CHECK: buffer_load_dwordx3 v[5:7], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x58,0xe0,0x00,0x05,0x02,0x03]
-0x07,0x00,0x58,0xe0,0x00,0x05,0x02,0x03
+# CHECK: global_load_sbyte v5, v[1:2], off    ; encoding: [0x00,0x80,0x44,0xdc,0x01,0x00,0x7f,0x05]
+0x00,0x80,0x44,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_load_dwordx3 v[5:7], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x58,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x58,0xe0,0x00,0x05,0x02,0x03
+# CHECK: global_load_ushort v5, v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x48,0xdc,0x01,0x00,0x7f,0x05]
+0xff,0x9f,0x48,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_load_dwordx3 v[5:7], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x5a,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x5a,0xe0,0x00,0x05,0x02,0x03
+# CHECK: global_load_ushort v255, v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x48,0xdc,0x01,0x00,0x7f,0xff]
+0xff,0x9f,0x48,0xdc,0x01,0x00,0x7f,0xff
 
-# CHECK: buffer_load_dwordx4 v[5:8], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0x03
+# CHECK: global_load_ushort v5, v[1:2], off    ; encoding: [0x00,0x80,0x48,0xdc,0x01,0x00,0x7f,0x05]
+0x00,0x80,0x48,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_load_dwordx4 v[252:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x5c,0xe0,0x00,0xfc,0x02,0x03]
-0xff,0x0f,0x5c,0xe0,0x00,0xfc,0x02,0x03
+# CHECK: global_load_sshort v5, v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x4c,0xdc,0x01,0x00,0x7f,0x05]
+0xff,0x9f,0x4c,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_load_dwordx4 v[5:8], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x5c,0xe0,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x5c,0xe0,0x00,0x05,0x03,0x03
+# CHECK: global_load_sshort v255, v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x4c,0xdc,0x01,0x00,0x7f,0xff]
+0xff,0x9f,0x4c,0xdc,0x01,0x00,0x7f,0xff
 
-# CHECK: buffer_load_dwordx4 v[5:8], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x5c,0xe0,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x5c,0xe0,0x00,0x05,0x18,0x03
+# CHECK: global_load_sshort v5, v[1:2], off    ; encoding: [0x00,0x80,0x4c,0xdc,0x01,0x00,0x7f,0x05]
+0x00,0x80,0x4c,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_load_dwordx4 v[5:8], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0x65
+# CHECK: global_load_dword v5, v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x50,0xdc,0x01,0x00,0x7f,0x05]
+0xff,0x9f,0x50,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_load_dwordx4 v[5:8], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0x7c
+# CHECK: global_load_dword v255, v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x50,0xdc,0x01,0x00,0x7f,0xff]
+0xff,0x9f,0x50,0xdc,0x01,0x00,0x7f,0xff
 
-# CHECK: buffer_load_dwordx4 v[5:8], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0x80
+# CHECK: global_load_dword v5, v[1:2], off    ; encoding: [0x00,0x80,0x50,0xdc,0x01,0x00,0x7f,0x05]
+0x00,0x80,0x50,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_load_dwordx4 v[5:8], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0xc1
+# CHECK: global_load_dwordx2 v[5:6], v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x54,0xdc,0x01,0x00,0x7f,0x05]
+0xff,0x9f,0x54,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_load_dwordx4 v[5:8], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0xf0
+# CHECK: global_load_dwordx2 v[254:255], v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x54,0xdc,0x01,0x00,0x7f,0xfe]
+0xff,0x9f,0x54,0xdc,0x01,0x00,0x7f,0xfe
 
-# CHECK: buffer_load_dwordx4 v[5:8], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0xf7
+# CHECK: global_load_dwordx2 v[5:6], v[1:2], off    ; encoding: [0x00,0x80,0x54,0xdc,0x01,0x00,0x7f,0x05]
+0x00,0x80,0x54,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_load_dwordx4 v[5:8], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x5c,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x5c,0xe0,0x00,0x05,0x02,0x03
+# CHECK: global_load_dwordx3 v[5:7], v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x58,0xdc,0x01,0x00,0x7f,0x05]
+0xff,0x9f,0x58,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_load_dwordx4 v[5:8], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x5c,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x5c,0xe0,0x00,0x05,0x02,0x03
+# CHECK: global_load_dwordx3 v[253:255], v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x58,0xdc,0x01,0x00,0x7f,0xfd]
+0xff,0x9f,0x58,0xdc,0x01,0x00,0x7f,0xfd
 
-# CHECK: buffer_load_dwordx4 v[5:8], off, s[8:11], s3    ; encoding: [0x00,0x00,0x5c,0xe0,0x00,0x05,0x02,0x03]
-0x00,0x00,0x5c,0xe0,0x00,0x05,0x02,0x03
+# CHECK: global_load_dwordx3 v[5:7], v[1:2], off    ; encoding: [0x00,0x80,0x58,0xdc,0x01,0x00,0x7f,0x05]
+0x00,0x80,0x58,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_load_dwordx4 v[5:8], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x5c,0xe0,0x00,0x05,0x02,0x03]
-0x07,0x00,0x5c,0xe0,0x00,0x05,0x02,0x03
+# CHECK: global_load_dwordx4 v[5:8], v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x5c,0xdc,0x01,0x00,0x7f,0x05]
+0xff,0x9f,0x5c,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_load_dwordx4 v[5:8], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x5c,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x5c,0xe0,0x00,0x05,0x02,0x03
+# CHECK: global_load_dwordx4 v[252:255], v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x5c,0xdc,0x01,0x00,0x7f,0xfc]
+0xff,0x9f,0x5c,0xdc,0x01,0x00,0x7f,0xfc
 
-# CHECK: buffer_load_dwordx4 v[5:8], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x5e,0xe0,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x5e,0xe0,0x00,0x05,0x02,0x03
+# CHECK: global_load_dwordx4 v[5:8], v[1:2], off    ; encoding: [0x00,0x80,0x5c,0xdc,0x01,0x00,0x7f,0x05]
+0x00,0x80,0x5c,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_store_byte v1, off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_store_byte v[1:2], v2, off offset:-1    ; encoding: [0xff,0x9f,0x60,0xdc,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x60,0xdc,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_byte v255, off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x60,0xe0,0x00,0xff,0x03,0x04]
-0xff,0x0f,0x60,0xe0,0x00,0xff,0x03,0x04
+# CHECK: global_store_byte v[1:2], v255, off offset:-1    ; encoding: [0xff,0x9f,0x60,0xdc,0x01,0xff,0x7f,0x00]
+0xff,0x9f,0x60,0xdc,0x01,0xff,0x7f,0x00
 
-# CHECK: buffer_store_byte v1, off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x60,0xe0,0x00,0x01,0x04,0x04]
-0xff,0x0f,0x60,0xe0,0x00,0x01,0x04,0x04
+# CHECK: global_store_byte v[1:2], v2, off    ; encoding: [0x00,0x80,0x60,0xdc,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x60,0xdc,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_byte v1, off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x60,0xe0,0x00,0x01,0x18,0x04]
-0xff,0x0f,0x60,0xe0,0x00,0x01,0x18,0x04
+# CHECK: global_store_byte_d16_hi v[1:2], v2, off offset:-1    ; encoding: [0xff,0x9f,0x64,0xdc,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x64,0xdc,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_byte v1, off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0x65]
-0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0x65
+# CHECK: global_store_byte_d16_hi v[1:2], v255, off offset:-1    ; encoding: [0xff,0x9f,0x64,0xdc,0x01,0xff,0x7f,0x00]
+0xff,0x9f,0x64,0xdc,0x01,0xff,0x7f,0x00
 
-# CHECK: buffer_store_byte v1, off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0x7c]
-0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0x7c
+# CHECK: global_store_byte_d16_hi v[1:2], v2, off    ; encoding: [0x00,0x80,0x64,0xdc,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x64,0xdc,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_byte v1, off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0x80]
-0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0x80
+# CHECK: global_store_short v[1:2], v2, off offset:-1    ; encoding: [0xff,0x9f,0x68,0xdc,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x68,0xdc,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_byte v1, off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0xc1]
-0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0xc1
+# CHECK: global_store_short v[1:2], v255, off offset:-1    ; encoding: [0xff,0x9f,0x68,0xdc,0x01,0xff,0x7f,0x00]
+0xff,0x9f,0x68,0xdc,0x01,0xff,0x7f,0x00
 
-# CHECK: buffer_store_byte v1, off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0xf0]
-0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0xf0
+# CHECK: global_store_short v[1:2], v2, off    ; encoding: [0x00,0x80,0x68,0xdc,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x68,0xdc,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_byte v1, off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0xf7]
-0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0xf7
+# CHECK: global_store_short_d16_hi v[1:2], v2, off offset:-1    ; encoding: [0xff,0x9f,0x6c,0xdc,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x6c,0xdc,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_byte v1, v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x60,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x2f,0x60,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_store_short_d16_hi v[1:2], v255, off offset:-1    ; encoding: [0xff,0x9f,0x6c,0xdc,0x01,0xff,0x7f,0x00]
+0xff,0x9f,0x6c,0xdc,0x01,0xff,0x7f,0x00
 
-# CHECK: buffer_store_byte v1, v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x60,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x1f,0x60,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_store_short_d16_hi v[1:2], v2, off    ; encoding: [0x00,0x80,0x6c,0xdc,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x6c,0xdc,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_byte v1, off, s[12:15], s4    ; encoding: [0x00,0x00,0x60,0xe0,0x00,0x01,0x03,0x04]
-0x00,0x00,0x60,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_store_dword v[1:2], v2, off offset:-1    ; encoding: [0xff,0x9f,0x70,0xdc,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x70,0xdc,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_byte v1, off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x60,0xe0,0x00,0x01,0x03,0x04]
-0x07,0x00,0x60,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_store_dword v[1:2], v255, off offset:-1    ; encoding: [0xff,0x9f,0x70,0xdc,0x01,0xff,0x7f,0x00]
+0xff,0x9f,0x70,0xdc,0x01,0xff,0x7f,0x00
 
-# CHECK: buffer_store_byte v1, off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x60,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x4f,0x60,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_store_dword v[1:2], v2, off    ; encoding: [0x00,0x80,0x70,0xdc,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x70,0xdc,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_byte v1, off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x62,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x0f,0x62,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_store_dwordx2 v[1:2], v[2:3], off offset:-1    ; encoding: [0xff,0x9f,0x74,0xdc,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x74,0xdc,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_short v1, off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_store_dwordx2 v[1:2], v[254:255], off offset:-1    ; encoding: [0xff,0x9f,0x74,0xdc,0x01,0xfe,0x7f,0x00]
+0xff,0x9f,0x74,0xdc,0x01,0xfe,0x7f,0x00
 
-# CHECK: buffer_store_short v255, off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x68,0xe0,0x00,0xff,0x03,0x04]
-0xff,0x0f,0x68,0xe0,0x00,0xff,0x03,0x04
+# CHECK: global_store_dwordx2 v[1:2], v[2:3], off    ; encoding: [0x00,0x80,0x74,0xdc,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x74,0xdc,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_short v1, off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x68,0xe0,0x00,0x01,0x04,0x04]
-0xff,0x0f,0x68,0xe0,0x00,0x01,0x04,0x04
+# CHECK: global_store_dwordx3 v[1:2], v[2:4], off offset:-1    ; encoding: [0xff,0x9f,0x78,0xdc,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x78,0xdc,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_short v1, off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x68,0xe0,0x00,0x01,0x18,0x04]
-0xff,0x0f,0x68,0xe0,0x00,0x01,0x18,0x04
+# CHECK: global_store_dwordx3 v[1:2], v[253:255], off offset:-1    ; encoding: [0xff,0x9f,0x78,0xdc,0x01,0xfd,0x7f,0x00]
+0xff,0x9f,0x78,0xdc,0x01,0xfd,0x7f,0x00
 
-# CHECK: buffer_store_short v1, off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0x65]
-0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0x65
+# CHECK: global_store_dwordx3 v[1:2], v[2:4], off    ; encoding: [0x00,0x80,0x78,0xdc,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x78,0xdc,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_short v1, off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0x7c]
-0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0x7c
+# CHECK: global_store_dwordx4 v[1:2], v[2:5], off offset:-1    ; encoding: [0xff,0x9f,0x7c,0xdc,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x7c,0xdc,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_short v1, off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0x80]
-0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0x80
+# CHECK: global_store_dwordx4 v[1:2], v[252:255], off offset:-1    ; encoding: [0xff,0x9f,0x7c,0xdc,0x01,0xfc,0x7f,0x00]
+0xff,0x9f,0x7c,0xdc,0x01,0xfc,0x7f,0x00
 
-# CHECK: buffer_store_short v1, off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0xc1]
-0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0xc1
+# CHECK: global_store_dwordx4 v[1:2], v[2:5], off    ; encoding: [0x00,0x80,0x7c,0xdc,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x7c,0xdc,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_short v1, off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0xf0]
-0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0xf0
+# CHECK: global_load_ubyte_d16 v5, v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x80,0xdc,0x01,0x00,0x7f,0x05]
+0xff,0x9f,0x80,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_store_short v1, off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0xf7]
-0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0xf7
+# CHECK: global_load_ubyte_d16 v255, v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x80,0xdc,0x01,0x00,0x7f,0xff]
+0xff,0x9f,0x80,0xdc,0x01,0x00,0x7f,0xff
 
-# CHECK: buffer_store_short v1, v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x68,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x2f,0x68,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_load_ubyte_d16 v5, v[1:2], off    ; encoding: [0x00,0x80,0x80,0xdc,0x01,0x00,0x7f,0x05]
+0x00,0x80,0x80,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_store_short v1, v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x68,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x1f,0x68,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_load_ubyte_d16_hi v5, v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x84,0xdc,0x01,0x00,0x7f,0x05]
+0xff,0x9f,0x84,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_store_short v1, off, s[12:15], s4    ; encoding: [0x00,0x00,0x68,0xe0,0x00,0x01,0x03,0x04]
-0x00,0x00,0x68,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_load_ubyte_d16_hi v255, v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x84,0xdc,0x01,0x00,0x7f,0xff]
+0xff,0x9f,0x84,0xdc,0x01,0x00,0x7f,0xff
 
-# CHECK: buffer_store_short v1, off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x68,0xe0,0x00,0x01,0x03,0x04]
-0x07,0x00,0x68,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_load_ubyte_d16_hi v5, v[1:2], off    ; encoding: [0x00,0x80,0x84,0xdc,0x01,0x00,0x7f,0x05]
+0x00,0x80,0x84,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_store_short v1, off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x68,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x4f,0x68,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_load_sbyte_d16 v5, v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x88,0xdc,0x01,0x00,0x7f,0x05]
+0xff,0x9f,0x88,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_store_short v1, off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x6a,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x0f,0x6a,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_load_sbyte_d16 v255, v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x88,0xdc,0x01,0x00,0x7f,0xff]
+0xff,0x9f,0x88,0xdc,0x01,0x00,0x7f,0xff
 
-# CHECK: buffer_store_dword v1, off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_load_sbyte_d16 v5, v[1:2], off    ; encoding: [0x00,0x80,0x88,0xdc,0x01,0x00,0x7f,0x05]
+0x00,0x80,0x88,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_store_dword v255, off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x70,0xe0,0x00,0xff,0x03,0x04]
-0xff,0x0f,0x70,0xe0,0x00,0xff,0x03,0x04
+# CHECK: global_load_sbyte_d16_hi v5, v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x8c,0xdc,0x01,0x00,0x7f,0x05]
+0xff,0x9f,0x8c,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_store_dword v1, off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x70,0xe0,0x00,0x01,0x04,0x04]
-0xff,0x0f,0x70,0xe0,0x00,0x01,0x04,0x04
+# CHECK: global_load_sbyte_d16_hi v255, v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x8c,0xdc,0x01,0x00,0x7f,0xff]
+0xff,0x9f,0x8c,0xdc,0x01,0x00,0x7f,0xff
 
-# CHECK: buffer_store_dword v1, off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x70,0xe0,0x00,0x01,0x18,0x04]
-0xff,0x0f,0x70,0xe0,0x00,0x01,0x18,0x04
+# CHECK: global_load_sbyte_d16_hi v5, v[1:2], off    ; encoding: [0x00,0x80,0x8c,0xdc,0x01,0x00,0x7f,0x05]
+0x00,0x80,0x8c,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_store_dword v1, off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0x65]
-0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0x65
+# CHECK: global_load_short_d16 v5, v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x90,0xdc,0x01,0x00,0x7f,0x05]
+0xff,0x9f,0x90,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_store_dword v1, off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0x7c]
-0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0x7c
+# CHECK: global_load_short_d16 v255, v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x90,0xdc,0x01,0x00,0x7f,0xff]
+0xff,0x9f,0x90,0xdc,0x01,0x00,0x7f,0xff
 
-# CHECK: buffer_store_dword v1, off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0x80]
-0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0x80
+# CHECK: global_load_short_d16 v5, v[1:2], off    ; encoding: [0x00,0x80,0x90,0xdc,0x01,0x00,0x7f,0x05]
+0x00,0x80,0x90,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_store_dword v1, off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0xc1]
-0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0xc1
+# CHECK: global_load_short_d16_hi v5, v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x94,0xdc,0x01,0x00,0x7f,0x05]
+0xff,0x9f,0x94,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_store_dword v1, off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0xf0]
-0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0xf0
+# CHECK: global_load_short_d16_hi v255, v[1:2], off offset:-1    ; encoding: [0xff,0x9f,0x94,0xdc,0x01,0x00,0x7f,0xff]
+0xff,0x9f,0x94,0xdc,0x01,0x00,0x7f,0xff
 
-# CHECK: buffer_store_dword v1, off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0xf7]
-0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0xf7
+# CHECK: global_load_short_d16_hi v5, v[1:2], off    ; encoding: [0x00,0x80,0x94,0xdc,0x01,0x00,0x7f,0x05]
+0x00,0x80,0x94,0xdc,0x01,0x00,0x7f,0x05
 
-# CHECK: buffer_store_dword v1, v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x70,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x2f,0x70,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_swap v[1:2], v2, off offset:-1    ; encoding: [0xff,0x9f,0x00,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x00,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dword v1, v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x70,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x1f,0x70,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_swap v[1:2], v255, off offset:-1    ; encoding: [0xff,0x9f,0x00,0xdd,0x01,0xff,0x7f,0x00]
+0xff,0x9f,0x00,0xdd,0x01,0xff,0x7f,0x00
 
-# CHECK: buffer_store_dword v1, off, s[12:15], s4    ; encoding: [0x00,0x00,0x70,0xe0,0x00,0x01,0x03,0x04]
-0x00,0x00,0x70,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_swap v[1:2], v2, off    ; encoding: [0x00,0x80,0x00,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x00,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dword v1, off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x70,0xe0,0x00,0x01,0x03,0x04]
-0x07,0x00,0x70,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_cmpswap v[1:2], v[2:3], off offset:-1    ; encoding: [0xff,0x9f,0x04,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x04,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dword v1, off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x70,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x4f,0x70,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_cmpswap v[1:2], v[254:255], off offset:-1    ; encoding: [0xff,0x9f,0x04,0xdd,0x01,0xfe,0x7f,0x00]
+0xff,0x9f,0x04,0xdd,0x01,0xfe,0x7f,0x00
 
-# CHECK: buffer_store_dword v1, off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x72,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x0f,0x72,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_cmpswap v[1:2], v[2:3], off    ; encoding: [0x00,0x80,0x04,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x04,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx2 v[1:2], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_add v[1:2], v2, off offset:-1    ; encoding: [0xff,0x9f,0x08,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x08,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx2 v[254:255], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x74,0xe0,0x00,0xfe,0x03,0x04]
-0xff,0x0f,0x74,0xe0,0x00,0xfe,0x03,0x04
+# CHECK: global_atomic_add v[1:2], v255, off offset:-1    ; encoding: [0xff,0x9f,0x08,0xdd,0x01,0xff,0x7f,0x00]
+0xff,0x9f,0x08,0xdd,0x01,0xff,0x7f,0x00
 
-# CHECK: buffer_store_dwordx2 v[1:2], off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x74,0xe0,0x00,0x01,0x04,0x04]
-0xff,0x0f,0x74,0xe0,0x00,0x01,0x04,0x04
+# CHECK: global_atomic_add v[1:2], v2, off    ; encoding: [0x00,0x80,0x08,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x08,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx2 v[1:2], off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x74,0xe0,0x00,0x01,0x18,0x04]
-0xff,0x0f,0x74,0xe0,0x00,0x01,0x18,0x04
+# CHECK: global_atomic_sub v[1:2], v2, off offset:-1    ; encoding: [0xff,0x9f,0x0c,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x0c,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx2 v[1:2], off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0x65]
-0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0x65
+# CHECK: global_atomic_sub v[1:2], v255, off offset:-1    ; encoding: [0xff,0x9f,0x0c,0xdd,0x01,0xff,0x7f,0x00]
+0xff,0x9f,0x0c,0xdd,0x01,0xff,0x7f,0x00
 
-# CHECK: buffer_store_dwordx2 v[1:2], off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0x7c]
-0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0x7c
+# CHECK: global_atomic_sub v[1:2], v2, off    ; encoding: [0x00,0x80,0x0c,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x0c,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx2 v[1:2], off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0x80]
-0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0x80
+# CHECK: global_atomic_smin v[1:2], v2, off offset:-1    ; encoding: [0xff,0x9f,0x10,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x10,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx2 v[1:2], off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0xc1]
-0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0xc1
+# CHECK: global_atomic_smin v[1:2], v255, off offset:-1    ; encoding: [0xff,0x9f,0x10,0xdd,0x01,0xff,0x7f,0x00]
+0xff,0x9f,0x10,0xdd,0x01,0xff,0x7f,0x00
 
-# CHECK: buffer_store_dwordx2 v[1:2], off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0xf0]
-0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0xf0
+# CHECK: global_atomic_smin v[1:2], v2, off    ; encoding: [0x00,0x80,0x10,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x10,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx2 v[1:2], off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0xf7]
-0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0xf7
+# CHECK: global_atomic_umin v[1:2], v2, off offset:-1    ; encoding: [0xff,0x9f,0x14,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x14,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx2 v[1:2], v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x74,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x2f,0x74,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_umin v[1:2], v255, off offset:-1    ; encoding: [0xff,0x9f,0x14,0xdd,0x01,0xff,0x7f,0x00]
+0xff,0x9f,0x14,0xdd,0x01,0xff,0x7f,0x00
 
-# CHECK: buffer_store_dwordx2 v[1:2], v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x74,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x1f,0x74,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_umin v[1:2], v2, off    ; encoding: [0x00,0x80,0x14,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x14,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx2 v[1:2], off, s[12:15], s4    ; encoding: [0x00,0x00,0x74,0xe0,0x00,0x01,0x03,0x04]
-0x00,0x00,0x74,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_smax v[1:2], v2, off offset:-1    ; encoding: [0xff,0x9f,0x18,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x18,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx2 v[1:2], off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x74,0xe0,0x00,0x01,0x03,0x04]
-0x07,0x00,0x74,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_smax v[1:2], v255, off offset:-1    ; encoding: [0xff,0x9f,0x18,0xdd,0x01,0xff,0x7f,0x00]
+0xff,0x9f,0x18,0xdd,0x01,0xff,0x7f,0x00
 
-# CHECK: buffer_store_dwordx2 v[1:2], off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x74,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x4f,0x74,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_smax v[1:2], v2, off    ; encoding: [0x00,0x80,0x18,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x18,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx2 v[1:2], off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x76,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x0f,0x76,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_umax v[1:2], v2, off offset:-1    ; encoding: [0xff,0x9f,0x1c,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x1c,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx3 v[1:3], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_umax v[1:2], v255, off offset:-1    ; encoding: [0xff,0x9f,0x1c,0xdd,0x01,0xff,0x7f,0x00]
+0xff,0x9f,0x1c,0xdd,0x01,0xff,0x7f,0x00
 
-# CHECK: buffer_store_dwordx3 v[253:255], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x78,0xe0,0x00,0xfd,0x03,0x04]
-0xff,0x0f,0x78,0xe0,0x00,0xfd,0x03,0x04
+# CHECK: global_atomic_umax v[1:2], v2, off    ; encoding: [0x00,0x80,0x1c,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x1c,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx3 v[1:3], off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x78,0xe0,0x00,0x01,0x04,0x04]
-0xff,0x0f,0x78,0xe0,0x00,0x01,0x04,0x04
+# CHECK: global_atomic_and v[1:2], v2, off offset:-1    ; encoding: [0xff,0x9f,0x20,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x20,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx3 v[1:3], off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x78,0xe0,0x00,0x01,0x18,0x04]
-0xff,0x0f,0x78,0xe0,0x00,0x01,0x18,0x04
+# CHECK: global_atomic_and v[1:2], v255, off offset:-1    ; encoding: [0xff,0x9f,0x20,0xdd,0x01,0xff,0x7f,0x00]
+0xff,0x9f,0x20,0xdd,0x01,0xff,0x7f,0x00
 
-# CHECK: buffer_store_dwordx3 v[1:3], off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0x65]
-0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0x65
+# CHECK: global_atomic_and v[1:2], v2, off    ; encoding: [0x00,0x80,0x20,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x20,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx3 v[1:3], off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0x7c]
-0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0x7c
+# CHECK: global_atomic_or v[1:2], v2, off offset:-1    ; encoding: [0xff,0x9f,0x24,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x24,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx3 v[1:3], off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0x80]
-0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0x80
+# CHECK: global_atomic_or v[1:2], v255, off offset:-1    ; encoding: [0xff,0x9f,0x24,0xdd,0x01,0xff,0x7f,0x00]
+0xff,0x9f,0x24,0xdd,0x01,0xff,0x7f,0x00
 
-# CHECK: buffer_store_dwordx3 v[1:3], off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0xc1]
-0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0xc1
+# CHECK: global_atomic_or v[1:2], v2, off    ; encoding: [0x00,0x80,0x24,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x24,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx3 v[1:3], off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0xf0]
-0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0xf0
+# CHECK: global_atomic_xor v[1:2], v2, off offset:-1    ; encoding: [0xff,0x9f,0x28,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x28,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx3 v[1:3], off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0xf7]
-0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0xf7
+# CHECK: global_atomic_xor v[1:2], v255, off offset:-1    ; encoding: [0xff,0x9f,0x28,0xdd,0x01,0xff,0x7f,0x00]
+0xff,0x9f,0x28,0xdd,0x01,0xff,0x7f,0x00
 
-# CHECK: buffer_store_dwordx3 v[1:3], v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x78,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x2f,0x78,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_xor v[1:2], v2, off    ; encoding: [0x00,0x80,0x28,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x28,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx3 v[1:3], v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x78,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x1f,0x78,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_inc v[1:2], v2, off offset:-1    ; encoding: [0xff,0x9f,0x2c,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x2c,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx3 v[1:3], off, s[12:15], s4    ; encoding: [0x00,0x00,0x78,0xe0,0x00,0x01,0x03,0x04]
-0x00,0x00,0x78,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_inc v[1:2], v255, off offset:-1    ; encoding: [0xff,0x9f,0x2c,0xdd,0x01,0xff,0x7f,0x00]
+0xff,0x9f,0x2c,0xdd,0x01,0xff,0x7f,0x00
 
-# CHECK: buffer_store_dwordx3 v[1:3], off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x78,0xe0,0x00,0x01,0x03,0x04]
-0x07,0x00,0x78,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_inc v[1:2], v2, off    ; encoding: [0x00,0x80,0x2c,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x2c,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx3 v[1:3], off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x78,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x4f,0x78,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_dec v[1:2], v2, off offset:-1    ; encoding: [0xff,0x9f,0x30,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x30,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx3 v[1:3], off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x7a,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x0f,0x7a,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_dec v[1:2], v255, off offset:-1    ; encoding: [0xff,0x9f,0x30,0xdd,0x01,0xff,0x7f,0x00]
+0xff,0x9f,0x30,0xdd,0x01,0xff,0x7f,0x00
 
-# CHECK: buffer_store_dwordx4 v[1:4], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_dec v[1:2], v2, off    ; encoding: [0x00,0x80,0x30,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x30,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx4 v[252:255], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x7c,0xe0,0x00,0xfc,0x03,0x04]
-0xff,0x0f,0x7c,0xe0,0x00,0xfc,0x03,0x04
+# CHECK: global_atomic_swap_x2 v[1:2], v[2:3], off offset:-1    ; encoding: [0xff,0x9f,0x80,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x80,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx4 v[1:4], off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x7c,0xe0,0x00,0x01,0x04,0x04]
-0xff,0x0f,0x7c,0xe0,0x00,0x01,0x04,0x04
+# CHECK: global_atomic_swap_x2 v[1:2], v[254:255], off offset:-1    ; encoding: [0xff,0x9f,0x80,0xdd,0x01,0xfe,0x7f,0x00]
+0xff,0x9f,0x80,0xdd,0x01,0xfe,0x7f,0x00
 
-# CHECK: buffer_store_dwordx4 v[1:4], off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x7c,0xe0,0x00,0x01,0x18,0x04]
-0xff,0x0f,0x7c,0xe0,0x00,0x01,0x18,0x04
+# CHECK: global_atomic_swap_x2 v[1:2], v[2:3], off    ; encoding: [0x00,0x80,0x80,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x80,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx4 v[1:4], off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0x65]
-0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0x65
+# CHECK: global_atomic_cmpswap_x2 v[1:2], v[2:5], off offset:-1    ; encoding: [0xff,0x9f,0x84,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x84,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx4 v[1:4], off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0x7c]
-0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0x7c
+# CHECK: global_atomic_cmpswap_x2 v[1:2], v[252:255], off offset:-1    ; encoding: [0xff,0x9f,0x84,0xdd,0x01,0xfc,0x7f,0x00]
+0xff,0x9f,0x84,0xdd,0x01,0xfc,0x7f,0x00
 
-# CHECK: buffer_store_dwordx4 v[1:4], off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0x80]
-0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0x80
+# CHECK: global_atomic_cmpswap_x2 v[1:2], v[2:5], off    ; encoding: [0x00,0x80,0x84,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x84,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx4 v[1:4], off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0xc1]
-0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0xc1
+# CHECK: global_atomic_add_x2 v[1:2], v[2:3], off offset:-1    ; encoding: [0xff,0x9f,0x88,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x88,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx4 v[1:4], off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0xf0]
-0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0xf0
+# CHECK: global_atomic_add_x2 v[1:2], v[254:255], off offset:-1    ; encoding: [0xff,0x9f,0x88,0xdd,0x01,0xfe,0x7f,0x00]
+0xff,0x9f,0x88,0xdd,0x01,0xfe,0x7f,0x00
 
-# CHECK: buffer_store_dwordx4 v[1:4], off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0xf7]
-0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0xf7
+# CHECK: global_atomic_add_x2 v[1:2], v[2:3], off    ; encoding: [0x00,0x80,0x88,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x88,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx4 v[1:4], v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x7c,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x2f,0x7c,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_sub_x2 v[1:2], v[2:3], off offset:-1    ; encoding: [0xff,0x9f,0x8c,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x8c,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx4 v[1:4], v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x7c,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x1f,0x7c,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_sub_x2 v[1:2], v[254:255], off offset:-1    ; encoding: [0xff,0x9f,0x8c,0xdd,0x01,0xfe,0x7f,0x00]
+0xff,0x9f,0x8c,0xdd,0x01,0xfe,0x7f,0x00
 
-# CHECK: buffer_store_dwordx4 v[1:4], off, s[12:15], s4    ; encoding: [0x00,0x00,0x7c,0xe0,0x00,0x01,0x03,0x04]
-0x00,0x00,0x7c,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_sub_x2 v[1:2], v[2:3], off    ; encoding: [0x00,0x80,0x8c,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x8c,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx4 v[1:4], off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x7c,0xe0,0x00,0x01,0x03,0x04]
-0x07,0x00,0x7c,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_smin_x2 v[1:2], v[2:3], off offset:-1    ; encoding: [0xff,0x9f,0x90,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x90,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_store_dwordx4 v[1:4], off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x7c,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x4f,0x7c,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_smin_x2 v[1:2], v[254:255], off offset:-1    ; encoding: [0xff,0x9f,0x90,0xdd,0x01,0xfe,0x7f,0x00]
+0xff,0x9f,0x90,0xdd,0x01,0xfe,0x7f,0x00
 
-# CHECK: buffer_store_dwordx4 v[1:4], off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x7e,0xe0,0x00,0x01,0x03,0x04]
-0xff,0x0f,0x7e,0xe0,0x00,0x01,0x03,0x04
+# CHECK: global_atomic_smin_x2 v[1:2], v[2:3], off    ; encoding: [0x00,0x80,0x90,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x90,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_wbinvl1    ; encoding: [0x00,0x00,0xf8,0xe0,0x00,0x00,0x00,0x00]
-0x00,0x00,0xf8,0xe0,0x00,0x00,0x00,0x00
+# CHECK: global_atomic_umin_x2 v[1:2], v[2:3], off offset:-1    ; encoding: [0xff,0x9f,0x94,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x94,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_wbinvl1_vol    ; encoding: [0x00,0x00,0xfc,0xe0,0x00,0x00,0x00,0x00]
-0x00,0x00,0xfc,0xe0,0x00,0x00,0x00,0x00
+# CHECK: global_atomic_umin_x2 v[1:2], v[254:255], off offset:-1    ; encoding: [0xff,0x9f,0x94,0xdd,0x01,0xfe,0x7f,0x00]
+0xff,0x9f,0x94,0xdd,0x01,0xfe,0x7f,0x00
 
-# CHECK: buffer_atomic_swap v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0x03
+# CHECK: global_atomic_umin_x2 v[1:2], v[2:3], off    ; encoding: [0x00,0x80,0x94,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x94,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_atomic_swap v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe1,0x00,0xff,0x02,0x03]
-0xff,0x0f,0x00,0xe1,0x00,0xff,0x02,0x03
+# CHECK: global_atomic_smax_x2 v[1:2], v[2:3], off offset:-1    ; encoding: [0xff,0x9f,0x98,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x98,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_atomic_swap v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x00,0xe1,0x00,0x05,0x03,0x03
+# CHECK: global_atomic_smax_x2 v[1:2], v[254:255], off offset:-1    ; encoding: [0xff,0x9f,0x98,0xdd,0x01,0xfe,0x7f,0x00]
+0xff,0x9f,0x98,0xdd,0x01,0xfe,0x7f,0x00
 
-# CHECK: buffer_atomic_swap v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x00,0xe1,0x00,0x05,0x18,0x03
+# CHECK: global_atomic_smax_x2 v[1:2], v[2:3], off    ; encoding: [0x00,0x80,0x98,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x98,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_atomic_swap v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0x65
+# CHECK: global_atomic_umax_x2 v[1:2], v[2:3], off offset:-1    ; encoding: [0xff,0x9f,0x9c,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0x9c,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_atomic_swap v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: global_atomic_umax_x2 v[1:2], v[254:255], off offset:-1    ; encoding: [0xff,0x9f,0x9c,0xdd,0x01,0xfe,0x7f,0x00]
+0xff,0x9f,0x9c,0xdd,0x01,0xfe,0x7f,0x00
 
-# CHECK: buffer_atomic_swap v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0x80
+# CHECK: global_atomic_umax_x2 v[1:2], v[2:3], off    ; encoding: [0x00,0x80,0x9c,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0x9c,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_atomic_swap v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: global_atomic_and_x2 v[1:2], v[2:3], off offset:-1    ; encoding: [0xff,0x9f,0xa0,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0xa0,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_atomic_swap v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: global_atomic_and_x2 v[1:2], v[254:255], off offset:-1    ; encoding: [0xff,0x9f,0xa0,0xdd,0x01,0xfe,0x7f,0x00]
+0xff,0x9f,0xa0,0xdd,0x01,0xfe,0x7f,0x00
 
-# CHECK: buffer_atomic_swap v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: global_atomic_and_x2 v[1:2], v[2:3], off    ; encoding: [0x00,0x80,0xa0,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0xa0,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_atomic_swap v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x00,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x00,0xe1,0x00,0x05,0x02,0x03
+# CHECK: global_atomic_or_x2 v[1:2], v[2:3], off offset:-1    ; encoding: [0xff,0x9f,0xa4,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0xa4,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_atomic_swap v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x00,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x00,0xe1,0x00,0x05,0x02,0x03
+# CHECK: global_atomic_or_x2 v[1:2], v[254:255], off offset:-1    ; encoding: [0xff,0x9f,0xa4,0xdd,0x01,0xfe,0x7f,0x00]
+0xff,0x9f,0xa4,0xdd,0x01,0xfe,0x7f,0x00
 
-# CHECK: buffer_atomic_swap v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x00,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0x00,0xe1,0x00,0x05,0x02,0x03
+# CHECK: global_atomic_or_x2 v[1:2], v[2:3], off    ; encoding: [0x00,0x80,0xa4,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0xa4,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_atomic_swap v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x00,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0x00,0xe1,0x00,0x05,0x02,0x03
+# CHECK: global_atomic_xor_x2 v[1:2], v[2:3], off offset:-1    ; encoding: [0xff,0x9f,0xa8,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0xa8,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_atomic_swap v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x00,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x00,0xe1,0x00,0x05,0x02,0x03
+# CHECK: global_atomic_xor_x2 v[1:2], v[254:255], off offset:-1    ; encoding: [0xff,0x9f,0xa8,0xdd,0x01,0xfe,0x7f,0x00]
+0xff,0x9f,0xa8,0xdd,0x01,0xfe,0x7f,0x00
 
-# CHECK: buffer_atomic_swap v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x02,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x02,0xe1,0x00,0x05,0x02,0x03
+# CHECK: global_atomic_xor_x2 v[1:2], v[2:3], off    ; encoding: [0x00,0x80,0xa8,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0xa8,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_atomic_cmpswap v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0x03
+# CHECK: global_atomic_inc_x2 v[1:2], v[2:3], off offset:-1    ; encoding: [0xff,0x9f,0xac,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0xac,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_atomic_cmpswap v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe1,0x00,0xfe,0x02,0x03]
-0xff,0x0f,0x04,0xe1,0x00,0xfe,0x02,0x03
+# CHECK: global_atomic_inc_x2 v[1:2], v[254:255], off offset:-1    ; encoding: [0xff,0x9f,0xac,0xdd,0x01,0xfe,0x7f,0x00]
+0xff,0x9f,0xac,0xdd,0x01,0xfe,0x7f,0x00
 
-# CHECK: buffer_atomic_cmpswap v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x04,0xe1,0x00,0x05,0x03,0x03
+# CHECK: global_atomic_inc_x2 v[1:2], v[2:3], off    ; encoding: [0x00,0x80,0xac,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0xac,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_atomic_cmpswap v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x04,0xe1,0x00,0x05,0x18,0x03
+# CHECK: global_atomic_dec_x2 v[1:2], v[2:3], off offset:-1    ; encoding: [0xff,0x9f,0xb0,0xdd,0x01,0x02,0x7f,0x00]
+0xff,0x9f,0xb0,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_atomic_cmpswap v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0x65
+# CHECK: global_atomic_dec_x2 v[1:2], v[254:255], off offset:-1    ; encoding: [0xff,0x9f,0xb0,0xdd,0x01,0xfe,0x7f,0x00]
+0xff,0x9f,0xb0,0xdd,0x01,0xfe,0x7f,0x00
 
-# CHECK: buffer_atomic_cmpswap v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: global_atomic_dec_x2 v[1:2], v[2:3], off    ; encoding: [0x00,0x80,0xb0,0xdd,0x01,0x02,0x7f,0x00]
+0x00,0x80,0xb0,0xdd,0x01,0x02,0x7f,0x00
 
-# CHECK: buffer_atomic_cmpswap v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0x80
+# CHECK: scratch_load_ubyte v5, off, s2 offset:-1    ; encoding: [0xff,0x5f,0x40,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x40,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_cmpswap v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: scratch_load_ubyte v255, off, s2 offset:-1    ; encoding: [0xff,0x5f,0x40,0xdc,0x00,0x00,0x02,0xff]
+0xff,0x5f,0x40,0xdc,0x00,0x00,0x02,0xff
 
-# CHECK: buffer_atomic_cmpswap v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: scratch_load_ubyte v5, off, s101 offset:-1    ; encoding: [0xff,0x5f,0x40,0xdc,0x00,0x00,0x65,0x05]
+0xff,0x5f,0x40,0xdc,0x00,0x00,0x65,0x05
 
-# CHECK: buffer_atomic_cmpswap v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: scratch_load_ubyte v5, off, flat_scratch_lo offset:-1    ; encoding: [0xff,0x5f,0x40,0xdc,0x00,0x00,0x66,0x05]
+0xff,0x5f,0x40,0xdc,0x00,0x00,0x66,0x05
 
-# CHECK: buffer_atomic_cmpswap v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x04,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x04,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_ubyte v5, off, flat_scratch_hi offset:-1    ; encoding: [0xff,0x5f,0x40,0xdc,0x00,0x00,0x67,0x05]
+0xff,0x5f,0x40,0xdc,0x00,0x00,0x67,0x05
 
-# CHECK: buffer_atomic_cmpswap v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x04,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x04,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_ubyte v5, off, vcc_lo offset:-1    ; encoding: [0xff,0x5f,0x40,0xdc,0x00,0x00,0x6a,0x05]
+0xff,0x5f,0x40,0xdc,0x00,0x00,0x6a,0x05
 
-# CHECK: buffer_atomic_cmpswap v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0x04,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0x04,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_ubyte v5, off, vcc_hi offset:-1    ; encoding: [0xff,0x5f,0x40,0xdc,0x00,0x00,0x6b,0x05]
+0xff,0x5f,0x40,0xdc,0x00,0x00,0x6b,0x05
 
-# CHECK: buffer_atomic_cmpswap v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x04,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0x04,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_ubyte v5, v0, off offset:-1    ; encoding: [0xff,0x5f,0x40,0xdc,0x00,0x00,0x7f,0x05]
+0xff,0x5f,0x40,0xdc,0x00,0x00,0x7f,0x05
 
-# CHECK: buffer_atomic_cmpswap v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x04,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x04,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_ubyte v5, off, s2    ; encoding: [0x00,0x40,0x40,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x40,0x40,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_cmpswap v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x06,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x06,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_ubyte v5, off, s2 offset:4095    ; encoding: [0xff,0x4f,0x40,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x4f,0x40,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_add v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_ubyte v5, off, s2 offset:-4096    ; encoding: [0x00,0x50,0x40,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x50,0x40,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_add v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe1,0x00,0xff,0x02,0x03]
-0xff,0x0f,0x08,0xe1,0x00,0xff,0x02,0x03
+# CHECK: scratch_load_ubyte v5, off, s2 offset:-1 glc    ; encoding: [0xff,0x5f,0x41,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x41,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_add v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x08,0xe1,0x00,0x05,0x03,0x03
+# CHECK: scratch_load_ubyte v5, off, s2 offset:-1 slc    ; encoding: [0xff,0x5f,0x42,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x42,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_add v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x08,0xe1,0x00,0x05,0x18,0x03
+# CHECK: scratch_load_sbyte v5, off, s2 offset:-1    ; encoding: [0xff,0x5f,0x44,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x44,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_add v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0x65
+# CHECK: scratch_load_sbyte v255, off, s2 offset:-1    ; encoding: [0xff,0x5f,0x44,0xdc,0x00,0x00,0x02,0xff]
+0xff,0x5f,0x44,0xdc,0x00,0x00,0x02,0xff
 
-# CHECK: buffer_atomic_add v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: scratch_load_sbyte v5, off, s101 offset:-1    ; encoding: [0xff,0x5f,0x44,0xdc,0x00,0x00,0x65,0x05]
+0xff,0x5f,0x44,0xdc,0x00,0x00,0x65,0x05
 
-# CHECK: buffer_atomic_add v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0x80
+# CHECK: scratch_load_sbyte v5, off, flat_scratch_lo offset:-1    ; encoding: [0xff,0x5f,0x44,0xdc,0x00,0x00,0x66,0x05]
+0xff,0x5f,0x44,0xdc,0x00,0x00,0x66,0x05
 
-# CHECK: buffer_atomic_add v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: scratch_load_sbyte v5, off, flat_scratch_hi offset:-1    ; encoding: [0xff,0x5f,0x44,0xdc,0x00,0x00,0x67,0x05]
+0xff,0x5f,0x44,0xdc,0x00,0x00,0x67,0x05
 
-# CHECK: buffer_atomic_add v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: scratch_load_sbyte v5, off, vcc_lo offset:-1    ; encoding: [0xff,0x5f,0x44,0xdc,0x00,0x00,0x6a,0x05]
+0xff,0x5f,0x44,0xdc,0x00,0x00,0x6a,0x05
 
-# CHECK: buffer_atomic_add v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: scratch_load_sbyte v5, off, vcc_hi offset:-1    ; encoding: [0xff,0x5f,0x44,0xdc,0x00,0x00,0x6b,0x05]
+0xff,0x5f,0x44,0xdc,0x00,0x00,0x6b,0x05
 
-# CHECK: buffer_atomic_add v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x08,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x08,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_sbyte v5, v0, off offset:-1    ; encoding: [0xff,0x5f,0x44,0xdc,0x00,0x00,0x7f,0x05]
+0xff,0x5f,0x44,0xdc,0x00,0x00,0x7f,0x05
 
-# CHECK: buffer_atomic_add v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x08,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x08,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_sbyte v5, off, s2    ; encoding: [0x00,0x40,0x44,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x40,0x44,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_add v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x08,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0x08,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_sbyte v5, off, s2 offset:4095    ; encoding: [0xff,0x4f,0x44,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x4f,0x44,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_add v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x08,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0x08,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_sbyte v5, off, s2 offset:-4096    ; encoding: [0x00,0x50,0x44,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x50,0x44,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_add v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x08,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x08,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_sbyte v5, off, s2 offset:-1 glc    ; encoding: [0xff,0x5f,0x45,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x45,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_add v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x0a,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x0a,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_sbyte v5, off, s2 offset:-1 slc    ; encoding: [0xff,0x5f,0x46,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x46,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_sub v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_ushort v5, off, s2 offset:-1    ; encoding: [0xff,0x5f,0x48,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x48,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_sub v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe1,0x00,0xff,0x02,0x03]
-0xff,0x0f,0x0c,0xe1,0x00,0xff,0x02,0x03
+# CHECK: scratch_load_ushort v255, off, s2 offset:-1    ; encoding: [0xff,0x5f,0x48,0xdc,0x00,0x00,0x02,0xff]
+0xff,0x5f,0x48,0xdc,0x00,0x00,0x02,0xff
 
-# CHECK: buffer_atomic_sub v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x0c,0xe1,0x00,0x05,0x03,0x03
+# CHECK: scratch_load_ushort v5, off, s101 offset:-1    ; encoding: [0xff,0x5f,0x48,0xdc,0x00,0x00,0x65,0x05]
+0xff,0x5f,0x48,0xdc,0x00,0x00,0x65,0x05
 
-# CHECK: buffer_atomic_sub v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x0c,0xe1,0x00,0x05,0x18,0x03
+# CHECK: scratch_load_ushort v5, off, flat_scratch_lo offset:-1    ; encoding: [0xff,0x5f,0x48,0xdc,0x00,0x00,0x66,0x05]
+0xff,0x5f,0x48,0xdc,0x00,0x00,0x66,0x05
 
-# CHECK: buffer_atomic_sub v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0x65
+# CHECK: scratch_load_ushort v5, off, flat_scratch_hi offset:-1    ; encoding: [0xff,0x5f,0x48,0xdc,0x00,0x00,0x67,0x05]
+0xff,0x5f,0x48,0xdc,0x00,0x00,0x67,0x05
 
-# CHECK: buffer_atomic_sub v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: scratch_load_ushort v5, off, vcc_lo offset:-1    ; encoding: [0xff,0x5f,0x48,0xdc,0x00,0x00,0x6a,0x05]
+0xff,0x5f,0x48,0xdc,0x00,0x00,0x6a,0x05
 
-# CHECK: buffer_atomic_sub v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0x80
+# CHECK: scratch_load_ushort v5, off, vcc_hi offset:-1    ; encoding: [0xff,0x5f,0x48,0xdc,0x00,0x00,0x6b,0x05]
+0xff,0x5f,0x48,0xdc,0x00,0x00,0x6b,0x05
 
-# CHECK: buffer_atomic_sub v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: scratch_load_ushort v5, v0, off offset:-1    ; encoding: [0xff,0x5f,0x48,0xdc,0x00,0x00,0x7f,0x05]
+0xff,0x5f,0x48,0xdc,0x00,0x00,0x7f,0x05
 
-# CHECK: buffer_atomic_sub v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: scratch_load_ushort v5, off, s2    ; encoding: [0x00,0x40,0x48,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x40,0x48,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_sub v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: scratch_load_ushort v5, off, s2 offset:4095    ; encoding: [0xff,0x4f,0x48,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x4f,0x48,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_sub v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x0c,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x0c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_ushort v5, off, s2 offset:-4096    ; encoding: [0x00,0x50,0x48,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x50,0x48,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_sub v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x0c,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x0c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_ushort v5, off, s2 offset:-1 glc    ; encoding: [0xff,0x5f,0x49,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x49,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_sub v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x0c,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0x0c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_ushort v5, off, s2 offset:-1 slc    ; encoding: [0xff,0x5f,0x4a,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x4a,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_sub v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x0c,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0x0c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_sshort v5, off, s2 offset:-1    ; encoding: [0xff,0x5f,0x4c,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x4c,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_sub v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x0c,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x0c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_sshort v255, off, s2 offset:-1    ; encoding: [0xff,0x5f,0x4c,0xdc,0x00,0x00,0x02,0xff]
+0xff,0x5f,0x4c,0xdc,0x00,0x00,0x02,0xff
 
-# CHECK: buffer_atomic_sub v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x0e,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x0e,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_sshort v5, off, s101 offset:-1    ; encoding: [0xff,0x5f,0x4c,0xdc,0x00,0x00,0x65,0x05]
+0xff,0x5f,0x4c,0xdc,0x00,0x00,0x65,0x05
 
-# CHECK: buffer_atomic_smin v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_sshort v5, off, flat_scratch_lo offset:-1    ; encoding: [0xff,0x5f,0x4c,0xdc,0x00,0x00,0x66,0x05]
+0xff,0x5f,0x4c,0xdc,0x00,0x00,0x66,0x05
 
-# CHECK: buffer_atomic_smin v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe1,0x00,0xff,0x02,0x03]
-0xff,0x0f,0x10,0xe1,0x00,0xff,0x02,0x03
+# CHECK: scratch_load_sshort v5, off, flat_scratch_hi offset:-1    ; encoding: [0xff,0x5f,0x4c,0xdc,0x00,0x00,0x67,0x05]
+0xff,0x5f,0x4c,0xdc,0x00,0x00,0x67,0x05
 
-# CHECK: buffer_atomic_smin v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x10,0xe1,0x00,0x05,0x03,0x03
+# CHECK: scratch_load_sshort v5, off, vcc_lo offset:-1    ; encoding: [0xff,0x5f,0x4c,0xdc,0x00,0x00,0x6a,0x05]
+0xff,0x5f,0x4c,0xdc,0x00,0x00,0x6a,0x05
 
-# CHECK: buffer_atomic_smin v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x10,0xe1,0x00,0x05,0x18,0x03
+# CHECK: scratch_load_sshort v5, off, vcc_hi offset:-1    ; encoding: [0xff,0x5f,0x4c,0xdc,0x00,0x00,0x6b,0x05]
+0xff,0x5f,0x4c,0xdc,0x00,0x00,0x6b,0x05
 
-# CHECK: buffer_atomic_smin v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0x65
+# CHECK: scratch_load_sshort v5, v0, off offset:-1    ; encoding: [0xff,0x5f,0x4c,0xdc,0x00,0x00,0x7f,0x05]
+0xff,0x5f,0x4c,0xdc,0x00,0x00,0x7f,0x05
 
-# CHECK: buffer_atomic_smin v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: scratch_load_sshort v5, off, s2    ; encoding: [0x00,0x40,0x4c,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x40,0x4c,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smin v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0x80
+# CHECK: scratch_load_sshort v5, off, s2 offset:4095    ; encoding: [0xff,0x4f,0x4c,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x4f,0x4c,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smin v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: scratch_load_sshort v5, off, s2 offset:-4096    ; encoding: [0x00,0x50,0x4c,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x50,0x4c,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smin v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: scratch_load_sshort v5, off, s2 offset:-1 glc    ; encoding: [0xff,0x5f,0x4d,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x4d,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smin v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: scratch_load_sshort v5, off, s2 offset:-1 slc    ; encoding: [0xff,0x5f,0x4e,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x4e,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smin v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x10,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x10,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dword v5, off, s2 offset:-1    ; encoding: [0xff,0x5f,0x50,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x50,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smin v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x10,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x10,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dword v255, off, s2 offset:-1    ; encoding: [0xff,0x5f,0x50,0xdc,0x00,0x00,0x02,0xff]
+0xff,0x5f,0x50,0xdc,0x00,0x00,0x02,0xff
 
-# CHECK: buffer_atomic_smin v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x10,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0x10,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dword v5, off, s101 offset:-1    ; encoding: [0xff,0x5f,0x50,0xdc,0x00,0x00,0x65,0x05]
+0xff,0x5f,0x50,0xdc,0x00,0x00,0x65,0x05
 
-# CHECK: buffer_atomic_smin v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x10,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0x10,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dword v5, off, flat_scratch_lo offset:-1    ; encoding: [0xff,0x5f,0x50,0xdc,0x00,0x00,0x66,0x05]
+0xff,0x5f,0x50,0xdc,0x00,0x00,0x66,0x05
 
-# CHECK: buffer_atomic_smin v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x10,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x10,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dword v5, off, flat_scratch_hi offset:-1    ; encoding: [0xff,0x5f,0x50,0xdc,0x00,0x00,0x67,0x05]
+0xff,0x5f,0x50,0xdc,0x00,0x00,0x67,0x05
 
-# CHECK: buffer_atomic_smin v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x12,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x12,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dword v5, off, vcc_lo offset:-1    ; encoding: [0xff,0x5f,0x50,0xdc,0x00,0x00,0x6a,0x05]
+0xff,0x5f,0x50,0xdc,0x00,0x00,0x6a,0x05
 
-# CHECK: buffer_atomic_umin v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dword v5, off, vcc_hi offset:-1    ; encoding: [0xff,0x5f,0x50,0xdc,0x00,0x00,0x6b,0x05]
+0xff,0x5f,0x50,0xdc,0x00,0x00,0x6b,0x05
 
-# CHECK: buffer_atomic_umin v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe1,0x00,0xff,0x02,0x03]
-0xff,0x0f,0x14,0xe1,0x00,0xff,0x02,0x03
+# CHECK: scratch_load_dword v5, v0, off offset:-1    ; encoding: [0xff,0x5f,0x50,0xdc,0x00,0x00,0x7f,0x05]
+0xff,0x5f,0x50,0xdc,0x00,0x00,0x7f,0x05
 
-# CHECK: buffer_atomic_umin v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x14,0xe1,0x00,0x05,0x03,0x03
+# CHECK: scratch_load_dword v5, off, s2    ; encoding: [0x00,0x40,0x50,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x40,0x50,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_umin v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x14,0xe1,0x00,0x05,0x18,0x03
+# CHECK: scratch_load_dword v5, off, s2 offset:4095    ; encoding: [0xff,0x4f,0x50,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x4f,0x50,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_umin v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0x65
+# CHECK: scratch_load_dword v5, off, s2 offset:-4096    ; encoding: [0x00,0x50,0x50,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x50,0x50,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_umin v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: scratch_load_dword v5, off, s2 offset:-1 glc    ; encoding: [0xff,0x5f,0x51,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x51,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_umin v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0x80
+# CHECK: scratch_load_dword v5, off, s2 offset:-1 slc    ; encoding: [0xff,0x5f,0x52,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x52,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_umin v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: scratch_load_dwordx2 v[5:6], off, s2 offset:-1    ; encoding: [0xff,0x5f,0x54,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x54,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_umin v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: scratch_load_dwordx2 v[254:255], off, s2 offset:-1    ; encoding: [0xff,0x5f,0x54,0xdc,0x00,0x00,0x02,0xfe]
+0xff,0x5f,0x54,0xdc,0x00,0x00,0x02,0xfe
 
-# CHECK: buffer_atomic_umin v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: scratch_load_dwordx2 v[5:6], off, s101 offset:-1    ; encoding: [0xff,0x5f,0x54,0xdc,0x00,0x00,0x65,0x05]
+0xff,0x5f,0x54,0xdc,0x00,0x00,0x65,0x05
 
-# CHECK: buffer_atomic_umin v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x14,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x14,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dwordx2 v[5:6], off, flat_scratch_lo offset:-1    ; encoding: [0xff,0x5f,0x54,0xdc,0x00,0x00,0x66,0x05]
+0xff,0x5f,0x54,0xdc,0x00,0x00,0x66,0x05
 
-# CHECK: buffer_atomic_umin v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x14,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x14,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dwordx2 v[5:6], off, flat_scratch_hi offset:-1    ; encoding: [0xff,0x5f,0x54,0xdc,0x00,0x00,0x67,0x05]
+0xff,0x5f,0x54,0xdc,0x00,0x00,0x67,0x05
 
-# CHECK: buffer_atomic_umin v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x14,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0x14,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dwordx2 v[5:6], off, vcc_lo offset:-1    ; encoding: [0xff,0x5f,0x54,0xdc,0x00,0x00,0x6a,0x05]
+0xff,0x5f,0x54,0xdc,0x00,0x00,0x6a,0x05
 
-# CHECK: buffer_atomic_umin v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x14,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0x14,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dwordx2 v[5:6], off, vcc_hi offset:-1    ; encoding: [0xff,0x5f,0x54,0xdc,0x00,0x00,0x6b,0x05]
+0xff,0x5f,0x54,0xdc,0x00,0x00,0x6b,0x05
 
-# CHECK: buffer_atomic_umin v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x14,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x14,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dwordx2 v[5:6], v0, off offset:-1    ; encoding: [0xff,0x5f,0x54,0xdc,0x00,0x00,0x7f,0x05]
+0xff,0x5f,0x54,0xdc,0x00,0x00,0x7f,0x05
 
-# CHECK: buffer_atomic_umin v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x16,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x16,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dwordx2 v[5:6], off, s2    ; encoding: [0x00,0x40,0x54,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x40,0x54,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smax v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dwordx2 v[5:6], off, s2 offset:4095    ; encoding: [0xff,0x4f,0x54,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x4f,0x54,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smax v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe1,0x00,0xff,0x02,0x03]
-0xff,0x0f,0x18,0xe1,0x00,0xff,0x02,0x03
+# CHECK: scratch_load_dwordx2 v[5:6], off, s2 offset:-4096    ; encoding: [0x00,0x50,0x54,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x50,0x54,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smax v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x18,0xe1,0x00,0x05,0x03,0x03
+# CHECK: scratch_load_dwordx2 v[5:6], off, s2 offset:-1 glc    ; encoding: [0xff,0x5f,0x55,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x55,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smax v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x18,0xe1,0x00,0x05,0x18,0x03
+# CHECK: scratch_load_dwordx2 v[5:6], off, s2 offset:-1 slc    ; encoding: [0xff,0x5f,0x56,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x56,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smax v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0x65
+# CHECK: scratch_load_dwordx3 v[5:7], off, s2 offset:-1    ; encoding: [0xff,0x5f,0x58,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x58,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smax v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: scratch_load_dwordx3 v[253:255], off, s2 offset:-1    ; encoding: [0xff,0x5f,0x58,0xdc,0x00,0x00,0x02,0xfd]
+0xff,0x5f,0x58,0xdc,0x00,0x00,0x02,0xfd
 
-# CHECK: buffer_atomic_smax v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0x80
+# CHECK: scratch_load_dwordx3 v[5:7], off, s101 offset:-1    ; encoding: [0xff,0x5f,0x58,0xdc,0x00,0x00,0x65,0x05]
+0xff,0x5f,0x58,0xdc,0x00,0x00,0x65,0x05
 
-# CHECK: buffer_atomic_smax v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: scratch_load_dwordx3 v[5:7], off, flat_scratch_lo offset:-1    ; encoding: [0xff,0x5f,0x58,0xdc,0x00,0x00,0x66,0x05]
+0xff,0x5f,0x58,0xdc,0x00,0x00,0x66,0x05
 
-# CHECK: buffer_atomic_smax v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: scratch_load_dwordx3 v[5:7], off, flat_scratch_hi offset:-1    ; encoding: [0xff,0x5f,0x58,0xdc,0x00,0x00,0x67,0x05]
+0xff,0x5f,0x58,0xdc,0x00,0x00,0x67,0x05
 
-# CHECK: buffer_atomic_smax v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: scratch_load_dwordx3 v[5:7], off, vcc_lo offset:-1    ; encoding: [0xff,0x5f,0x58,0xdc,0x00,0x00,0x6a,0x05]
+0xff,0x5f,0x58,0xdc,0x00,0x00,0x6a,0x05
 
-# CHECK: buffer_atomic_smax v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x18,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x18,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dwordx3 v[5:7], off, vcc_hi offset:-1    ; encoding: [0xff,0x5f,0x58,0xdc,0x00,0x00,0x6b,0x05]
+0xff,0x5f,0x58,0xdc,0x00,0x00,0x6b,0x05
 
-# CHECK: buffer_atomic_smax v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x18,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x18,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dwordx3 v[5:7], v0, off offset:-1    ; encoding: [0xff,0x5f,0x58,0xdc,0x00,0x00,0x7f,0x05]
+0xff,0x5f,0x58,0xdc,0x00,0x00,0x7f,0x05
 
-# CHECK: buffer_atomic_smax v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x18,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0x18,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dwordx3 v[5:7], off, s2    ; encoding: [0x00,0x40,0x58,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x40,0x58,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smax v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x18,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0x18,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dwordx3 v[5:7], off, s2 offset:4095    ; encoding: [0xff,0x4f,0x58,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x4f,0x58,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smax v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x18,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x18,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dwordx3 v[5:7], off, s2 offset:-4096    ; encoding: [0x00,0x50,0x58,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x50,0x58,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smax v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x1a,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x1a,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dwordx3 v[5:7], off, s2 offset:-1 glc    ; encoding: [0xff,0x5f,0x59,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x59,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_umax v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dwordx3 v[5:7], off, s2 offset:-1 slc    ; encoding: [0xff,0x5f,0x5a,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x5a,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_umax v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe1,0x00,0xff,0x02,0x03]
-0xff,0x0f,0x1c,0xe1,0x00,0xff,0x02,0x03
+# CHECK: scratch_load_dwordx4 v[5:8], off, s2 offset:-1    ; encoding: [0xff,0x5f,0x5c,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x5c,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_umax v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x1c,0xe1,0x00,0x05,0x03,0x03
+# CHECK: scratch_load_dwordx4 v[252:255], off, s2 offset:-1    ; encoding: [0xff,0x5f,0x5c,0xdc,0x00,0x00,0x02,0xfc]
+0xff,0x5f,0x5c,0xdc,0x00,0x00,0x02,0xfc
 
-# CHECK: buffer_atomic_umax v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x1c,0xe1,0x00,0x05,0x18,0x03
+# CHECK: scratch_load_dwordx4 v[5:8], off, s101 offset:-1    ; encoding: [0xff,0x5f,0x5c,0xdc,0x00,0x00,0x65,0x05]
+0xff,0x5f,0x5c,0xdc,0x00,0x00,0x65,0x05
 
-# CHECK: buffer_atomic_umax v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0x65
+# CHECK: scratch_load_dwordx4 v[5:8], off, flat_scratch_lo offset:-1    ; encoding: [0xff,0x5f,0x5c,0xdc,0x00,0x00,0x66,0x05]
+0xff,0x5f,0x5c,0xdc,0x00,0x00,0x66,0x05
 
-# CHECK: buffer_atomic_umax v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: scratch_load_dwordx4 v[5:8], off, flat_scratch_hi offset:-1    ; encoding: [0xff,0x5f,0x5c,0xdc,0x00,0x00,0x67,0x05]
+0xff,0x5f,0x5c,0xdc,0x00,0x00,0x67,0x05
 
-# CHECK: buffer_atomic_umax v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0x80
+# CHECK: scratch_load_dwordx4 v[5:8], off, vcc_lo offset:-1    ; encoding: [0xff,0x5f,0x5c,0xdc,0x00,0x00,0x6a,0x05]
+0xff,0x5f,0x5c,0xdc,0x00,0x00,0x6a,0x05
 
-# CHECK: buffer_atomic_umax v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: scratch_load_dwordx4 v[5:8], off, vcc_hi offset:-1    ; encoding: [0xff,0x5f,0x5c,0xdc,0x00,0x00,0x6b,0x05]
+0xff,0x5f,0x5c,0xdc,0x00,0x00,0x6b,0x05
 
-# CHECK: buffer_atomic_umax v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: scratch_load_dwordx4 v[5:8], v0, off offset:-1    ; encoding: [0xff,0x5f,0x5c,0xdc,0x00,0x00,0x7f,0x05]
+0xff,0x5f,0x5c,0xdc,0x00,0x00,0x7f,0x05
 
-# CHECK: buffer_atomic_umax v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: scratch_load_dwordx4 v[5:8], off, s2    ; encoding: [0x00,0x40,0x5c,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x40,0x5c,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_umax v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x1c,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x1c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dwordx4 v[5:8], off, s2 offset:4095    ; encoding: [0xff,0x4f,0x5c,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x4f,0x5c,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_umax v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x1c,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x1c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dwordx4 v[5:8], off, s2 offset:-4096    ; encoding: [0x00,0x50,0x5c,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x50,0x5c,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_umax v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x1c,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0x1c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dwordx4 v[5:8], off, s2 offset:-1 glc    ; encoding: [0xff,0x5f,0x5d,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x5d,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_umax v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x1c,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0x1c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_dwordx4 v[5:8], off, s2 offset:-1 slc    ; encoding: [0xff,0x5f,0x5e,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x5e,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_umax v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x1c,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x1c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_byte off, v2, s3 offset:-1    ; encoding: [0xff,0x5f,0x60,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x5f,0x60,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_umax v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x1e,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x1e,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_byte off, v255, s3 offset:-1    ; encoding: [0xff,0x5f,0x60,0xdc,0x00,0xff,0x03,0x00]
+0xff,0x5f,0x60,0xdc,0x00,0xff,0x03,0x00
 
-# CHECK: buffer_atomic_and v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_byte off, v2, s101 offset:-1    ; encoding: [0xff,0x5f,0x60,0xdc,0x00,0x02,0x65,0x00]
+0xff,0x5f,0x60,0xdc,0x00,0x02,0x65,0x00
 
-# CHECK: buffer_atomic_and v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe1,0x00,0xff,0x02,0x03]
-0xff,0x0f,0x20,0xe1,0x00,0xff,0x02,0x03
+# CHECK: scratch_store_byte off, v2, flat_scratch_lo offset:-1    ; encoding: [0xff,0x5f,0x60,0xdc,0x00,0x02,0x66,0x00]
+0xff,0x5f,0x60,0xdc,0x00,0x02,0x66,0x00
 
-# CHECK: buffer_atomic_and v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x20,0xe1,0x00,0x05,0x03,0x03
+# CHECK: scratch_store_byte off, v2, flat_scratch_hi offset:-1    ; encoding: [0xff,0x5f,0x60,0xdc,0x00,0x02,0x67,0x00]
+0xff,0x5f,0x60,0xdc,0x00,0x02,0x67,0x00
 
-# CHECK: buffer_atomic_and v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x20,0xe1,0x00,0x05,0x18,0x03
+# CHECK: scratch_store_byte off, v2, vcc_lo offset:-1    ; encoding: [0xff,0x5f,0x60,0xdc,0x00,0x02,0x6a,0x00]
+0xff,0x5f,0x60,0xdc,0x00,0x02,0x6a,0x00
 
-# CHECK: buffer_atomic_and v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0x65
+# CHECK: scratch_store_byte off, v2, vcc_hi offset:-1    ; encoding: [0xff,0x5f,0x60,0xdc,0x00,0x02,0x6b,0x00]
+0xff,0x5f,0x60,0xdc,0x00,0x02,0x6b,0x00
 
-# CHECK: buffer_atomic_and v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: scratch_store_byte v0, v2, off offset:-1    ; encoding: [0xff,0x5f,0x60,0xdc,0x00,0x02,0x7f,0x00]
+0xff,0x5f,0x60,0xdc,0x00,0x02,0x7f,0x00
 
-# CHECK: buffer_atomic_and v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0x80
+# CHECK: scratch_store_byte off, v2, s3    ; encoding: [0x00,0x40,0x60,0xdc,0x00,0x02,0x03,0x00]
+0x00,0x40,0x60,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_and v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: scratch_store_byte off, v2, s3 offset:4095    ; encoding: [0xff,0x4f,0x60,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x4f,0x60,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_and v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: scratch_store_byte off, v2, s3 offset:-4096    ; encoding: [0x00,0x50,0x60,0xdc,0x00,0x02,0x03,0x00]
+0x00,0x50,0x60,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_and v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: scratch_store_byte off, v2, s3 offset:-1 glc    ; encoding: [0xff,0x5f,0x61,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x5f,0x61,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_and v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x20,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x20,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_byte off, v2, s3 offset:-1 slc    ; encoding: [0xff,0x5f,0x62,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x5f,0x62,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_and v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x20,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x20,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_byte_d16_hi off, v2, s3 offset:-1    ; encoding: [0xff,0x5f,0x64,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x5f,0x64,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_and v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x20,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0x20,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_byte_d16_hi off, v255, s3 offset:-1    ; encoding: [0xff,0x5f,0x64,0xdc,0x00,0xff,0x03,0x00]
+0xff,0x5f,0x64,0xdc,0x00,0xff,0x03,0x00
 
-# CHECK: buffer_atomic_and v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x20,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0x20,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_byte_d16_hi off, v2, s101 offset:-1    ; encoding: [0xff,0x5f,0x64,0xdc,0x00,0x02,0x65,0x00]
+0xff,0x5f,0x64,0xdc,0x00,0x02,0x65,0x00
 
-# CHECK: buffer_atomic_and v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x20,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x20,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_byte_d16_hi off, v2, flat_scratch_lo offset:-1    ; encoding: [0xff,0x5f,0x64,0xdc,0x00,0x02,0x66,0x00]
+0xff,0x5f,0x64,0xdc,0x00,0x02,0x66,0x00
 
-# CHECK: buffer_atomic_and v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x22,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x22,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_byte_d16_hi off, v2, flat_scratch_hi offset:-1    ; encoding: [0xff,0x5f,0x64,0xdc,0x00,0x02,0x67,0x00]
+0xff,0x5f,0x64,0xdc,0x00,0x02,0x67,0x00
 
-# CHECK: buffer_atomic_or v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_byte_d16_hi off, v2, vcc_lo offset:-1    ; encoding: [0xff,0x5f,0x64,0xdc,0x00,0x02,0x6a,0x00]
+0xff,0x5f,0x64,0xdc,0x00,0x02,0x6a,0x00
 
-# CHECK: buffer_atomic_or v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe1,0x00,0xff,0x02,0x03]
-0xff,0x0f,0x24,0xe1,0x00,0xff,0x02,0x03
+# CHECK: scratch_store_byte_d16_hi off, v2, vcc_hi offset:-1    ; encoding: [0xff,0x5f,0x64,0xdc,0x00,0x02,0x6b,0x00]
+0xff,0x5f,0x64,0xdc,0x00,0x02,0x6b,0x00
 
-# CHECK: buffer_atomic_or v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x24,0xe1,0x00,0x05,0x03,0x03
+# CHECK: scratch_store_byte_d16_hi v0, v2, off offset:-1    ; encoding: [0xff,0x5f,0x64,0xdc,0x00,0x02,0x7f,0x00]
+0xff,0x5f,0x64,0xdc,0x00,0x02,0x7f,0x00
 
-# CHECK: buffer_atomic_or v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x24,0xe1,0x00,0x05,0x18,0x03
+# CHECK: scratch_store_byte_d16_hi off, v2, s3    ; encoding: [0x00,0x40,0x64,0xdc,0x00,0x02,0x03,0x00]
+0x00,0x40,0x64,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_or v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0x65
+# CHECK: scratch_store_byte_d16_hi off, v2, s3 offset:4095    ; encoding: [0xff,0x4f,0x64,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x4f,0x64,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_or v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: scratch_store_byte_d16_hi off, v2, s3 offset:-4096    ; encoding: [0x00,0x50,0x64,0xdc,0x00,0x02,0x03,0x00]
+0x00,0x50,0x64,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_or v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0x80
+# CHECK: scratch_store_byte_d16_hi off, v2, s3 offset:-1 glc    ; encoding: [0xff,0x5f,0x65,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x5f,0x65,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_or v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: scratch_store_byte_d16_hi off, v2, s3 offset:-1 slc    ; encoding: [0xff,0x5f,0x66,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x5f,0x66,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_or v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: scratch_store_short off, v2, s3 offset:-1    ; encoding: [0xff,0x5f,0x68,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x5f,0x68,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_or v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: scratch_store_short off, v255, s3 offset:-1    ; encoding: [0xff,0x5f,0x68,0xdc,0x00,0xff,0x03,0x00]
+0xff,0x5f,0x68,0xdc,0x00,0xff,0x03,0x00
 
-# CHECK: buffer_atomic_or v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x24,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x24,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_short off, v2, s101 offset:-1    ; encoding: [0xff,0x5f,0x68,0xdc,0x00,0x02,0x65,0x00]
+0xff,0x5f,0x68,0xdc,0x00,0x02,0x65,0x00
 
-# CHECK: buffer_atomic_or v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x24,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x24,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_short off, v2, flat_scratch_lo offset:-1    ; encoding: [0xff,0x5f,0x68,0xdc,0x00,0x02,0x66,0x00]
+0xff,0x5f,0x68,0xdc,0x00,0x02,0x66,0x00
 
-# CHECK: buffer_atomic_or v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x24,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0x24,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_short off, v2, flat_scratch_hi offset:-1    ; encoding: [0xff,0x5f,0x68,0xdc,0x00,0x02,0x67,0x00]
+0xff,0x5f,0x68,0xdc,0x00,0x02,0x67,0x00
 
-# CHECK: buffer_atomic_or v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x24,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0x24,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_short off, v2, vcc_lo offset:-1    ; encoding: [0xff,0x5f,0x68,0xdc,0x00,0x02,0x6a,0x00]
+0xff,0x5f,0x68,0xdc,0x00,0x02,0x6a,0x00
 
-# CHECK: buffer_atomic_or v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x24,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x24,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_short off, v2, vcc_hi offset:-1    ; encoding: [0xff,0x5f,0x68,0xdc,0x00,0x02,0x6b,0x00]
+0xff,0x5f,0x68,0xdc,0x00,0x02,0x6b,0x00
 
-# CHECK: buffer_atomic_or v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x26,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x26,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_short v0, v2, off offset:-1    ; encoding: [0xff,0x5f,0x68,0xdc,0x00,0x02,0x7f,0x00]
+0xff,0x5f,0x68,0xdc,0x00,0x02,0x7f,0x00
 
-# CHECK: buffer_atomic_xor v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_short off, v2, s3    ; encoding: [0x00,0x40,0x68,0xdc,0x00,0x02,0x03,0x00]
+0x00,0x40,0x68,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_xor v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe1,0x00,0xff,0x02,0x03]
-0xff,0x0f,0x28,0xe1,0x00,0xff,0x02,0x03
+# CHECK: scratch_store_short off, v2, s3 offset:4095    ; encoding: [0xff,0x4f,0x68,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x4f,0x68,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_xor v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x28,0xe1,0x00,0x05,0x03,0x03
+# CHECK: scratch_store_short off, v2, s3 offset:-4096    ; encoding: [0x00,0x50,0x68,0xdc,0x00,0x02,0x03,0x00]
+0x00,0x50,0x68,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_xor v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x28,0xe1,0x00,0x05,0x18,0x03
+# CHECK: scratch_store_short off, v2, s3 offset:-1 glc    ; encoding: [0xff,0x5f,0x69,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x5f,0x69,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_xor v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0x65
+# CHECK: scratch_store_short off, v2, s3 offset:-1 slc    ; encoding: [0xff,0x5f,0x6a,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x5f,0x6a,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_xor v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: scratch_store_short_d16_hi off, v2, s3 offset:-1    ; encoding: [0xff,0x5f,0x6c,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x5f,0x6c,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_xor v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0x80
+# CHECK: scratch_store_short_d16_hi off, v255, s3 offset:-1    ; encoding: [0xff,0x5f,0x6c,0xdc,0x00,0xff,0x03,0x00]
+0xff,0x5f,0x6c,0xdc,0x00,0xff,0x03,0x00
 
-# CHECK: buffer_atomic_xor v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: scratch_store_short_d16_hi off, v2, s101 offset:-1    ; encoding: [0xff,0x5f,0x6c,0xdc,0x00,0x02,0x65,0x00]
+0xff,0x5f,0x6c,0xdc,0x00,0x02,0x65,0x00
 
-# CHECK: buffer_atomic_xor v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: scratch_store_short_d16_hi off, v2, flat_scratch_lo offset:-1    ; encoding: [0xff,0x5f,0x6c,0xdc,0x00,0x02,0x66,0x00]
+0xff,0x5f,0x6c,0xdc,0x00,0x02,0x66,0x00
 
-# CHECK: buffer_atomic_xor v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: scratch_store_short_d16_hi off, v2, flat_scratch_hi offset:-1    ; encoding: [0xff,0x5f,0x6c,0xdc,0x00,0x02,0x67,0x00]
+0xff,0x5f,0x6c,0xdc,0x00,0x02,0x67,0x00
 
-# CHECK: buffer_atomic_xor v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x28,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x28,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_short_d16_hi off, v2, vcc_lo offset:-1    ; encoding: [0xff,0x5f,0x6c,0xdc,0x00,0x02,0x6a,0x00]
+0xff,0x5f,0x6c,0xdc,0x00,0x02,0x6a,0x00
 
-# CHECK: buffer_atomic_xor v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x28,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x28,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_short_d16_hi off, v2, vcc_hi offset:-1    ; encoding: [0xff,0x5f,0x6c,0xdc,0x00,0x02,0x6b,0x00]
+0xff,0x5f,0x6c,0xdc,0x00,0x02,0x6b,0x00
 
-# CHECK: buffer_atomic_xor v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x28,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0x28,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_short_d16_hi v0, v2, off offset:-1    ; encoding: [0xff,0x5f,0x6c,0xdc,0x00,0x02,0x7f,0x00]
+0xff,0x5f,0x6c,0xdc,0x00,0x02,0x7f,0x00
 
-# CHECK: buffer_atomic_xor v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x28,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0x28,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_short_d16_hi off, v2, s3    ; encoding: [0x00,0x40,0x6c,0xdc,0x00,0x02,0x03,0x00]
+0x00,0x40,0x6c,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_xor v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x28,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x28,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_short_d16_hi off, v2, s3 offset:4095    ; encoding: [0xff,0x4f,0x6c,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x4f,0x6c,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_xor v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x2a,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x2a,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_short_d16_hi off, v2, s3 offset:-4096    ; encoding: [0x00,0x50,0x6c,0xdc,0x00,0x02,0x03,0x00]
+0x00,0x50,0x6c,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_inc v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_short_d16_hi off, v2, s3 offset:-1 glc    ; encoding: [0xff,0x5f,0x6d,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x5f,0x6d,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_inc v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe1,0x00,0xff,0x02,0x03]
-0xff,0x0f,0x2c,0xe1,0x00,0xff,0x02,0x03
+# CHECK: scratch_store_short_d16_hi off, v2, s3 offset:-1 slc    ; encoding: [0xff,0x5f,0x6e,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x5f,0x6e,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_inc v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x2c,0xe1,0x00,0x05,0x03,0x03
+# CHECK: scratch_store_dword off, v2, s3 offset:-1    ; encoding: [0xff,0x5f,0x70,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x5f,0x70,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_inc v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x2c,0xe1,0x00,0x05,0x18,0x03
+# CHECK: scratch_store_dword off, v255, s3 offset:-1    ; encoding: [0xff,0x5f,0x70,0xdc,0x00,0xff,0x03,0x00]
+0xff,0x5f,0x70,0xdc,0x00,0xff,0x03,0x00
 
-# CHECK: buffer_atomic_inc v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0x65
+# CHECK: scratch_store_dword off, v2, s101 offset:-1    ; encoding: [0xff,0x5f,0x70,0xdc,0x00,0x02,0x65,0x00]
+0xff,0x5f,0x70,0xdc,0x00,0x02,0x65,0x00
 
-# CHECK: buffer_atomic_inc v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: scratch_store_dword off, v2, flat_scratch_lo offset:-1    ; encoding: [0xff,0x5f,0x70,0xdc,0x00,0x02,0x66,0x00]
+0xff,0x5f,0x70,0xdc,0x00,0x02,0x66,0x00
 
-# CHECK: buffer_atomic_inc v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0x80
+# CHECK: scratch_store_dword off, v2, flat_scratch_hi offset:-1    ; encoding: [0xff,0x5f,0x70,0xdc,0x00,0x02,0x67,0x00]
+0xff,0x5f,0x70,0xdc,0x00,0x02,0x67,0x00
 
-# CHECK: buffer_atomic_inc v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: scratch_store_dword off, v2, vcc_lo offset:-1    ; encoding: [0xff,0x5f,0x70,0xdc,0x00,0x02,0x6a,0x00]
+0xff,0x5f,0x70,0xdc,0x00,0x02,0x6a,0x00
 
-# CHECK: buffer_atomic_inc v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: scratch_store_dword off, v2, vcc_hi offset:-1    ; encoding: [0xff,0x5f,0x70,0xdc,0x00,0x02,0x6b,0x00]
+0xff,0x5f,0x70,0xdc,0x00,0x02,0x6b,0x00
 
-# CHECK: buffer_atomic_inc v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: scratch_store_dword v0, v2, off offset:-1    ; encoding: [0xff,0x5f,0x70,0xdc,0x00,0x02,0x7f,0x00]
+0xff,0x5f,0x70,0xdc,0x00,0x02,0x7f,0x00
 
-# CHECK: buffer_atomic_inc v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x2c,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x2c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_dword off, v2, s3    ; encoding: [0x00,0x40,0x70,0xdc,0x00,0x02,0x03,0x00]
+0x00,0x40,0x70,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_inc v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x2c,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x2c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_dword off, v2, s3 offset:4095    ; encoding: [0xff,0x4f,0x70,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x4f,0x70,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_inc v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x2c,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0x2c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_dword off, v2, s3 offset:-4096    ; encoding: [0x00,0x50,0x70,0xdc,0x00,0x02,0x03,0x00]
+0x00,0x50,0x70,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_inc v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x2c,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0x2c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_dword off, v2, s3 offset:-1 glc    ; encoding: [0xff,0x5f,0x71,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x5f,0x71,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_inc v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x2c,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x2c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_dword off, v2, s3 offset:-1 slc    ; encoding: [0xff,0x5f,0x72,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x5f,0x72,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_inc v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x2e,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x2e,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_dwordx2 off, v[2:3], s3 offset:-1    ; encoding: [0xff,0x5f,0x74,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x5f,0x74,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_dec v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_dwordx2 off, v[254:255], s3 offset:-1    ; encoding: [0xff,0x5f,0x74,0xdc,0x00,0xfe,0x03,0x00]
+0xff,0x5f,0x74,0xdc,0x00,0xfe,0x03,0x00
 
-# CHECK: buffer_atomic_dec v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe1,0x00,0xff,0x02,0x03]
-0xff,0x0f,0x30,0xe1,0x00,0xff,0x02,0x03
+# CHECK: scratch_store_dwordx2 off, v[2:3], s101 offset:-1    ; encoding: [0xff,0x5f,0x74,0xdc,0x00,0x02,0x65,0x00]
+0xff,0x5f,0x74,0xdc,0x00,0x02,0x65,0x00
 
-# CHECK: buffer_atomic_dec v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x30,0xe1,0x00,0x05,0x03,0x03
+# CHECK: scratch_store_dwordx2 off, v[2:3], flat_scratch_lo offset:-1    ; encoding: [0xff,0x5f,0x74,0xdc,0x00,0x02,0x66,0x00]
+0xff,0x5f,0x74,0xdc,0x00,0x02,0x66,0x00
 
-# CHECK: buffer_atomic_dec v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x30,0xe1,0x00,0x05,0x18,0x03
+# CHECK: scratch_store_dwordx2 off, v[2:3], flat_scratch_hi offset:-1    ; encoding: [0xff,0x5f,0x74,0xdc,0x00,0x02,0x67,0x00]
+0xff,0x5f,0x74,0xdc,0x00,0x02,0x67,0x00
 
-# CHECK: buffer_atomic_dec v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0x65
+# CHECK: scratch_store_dwordx2 off, v[2:3], vcc_lo offset:-1    ; encoding: [0xff,0x5f,0x74,0xdc,0x00,0x02,0x6a,0x00]
+0xff,0x5f,0x74,0xdc,0x00,0x02,0x6a,0x00
 
-# CHECK: buffer_atomic_dec v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: scratch_store_dwordx2 off, v[2:3], vcc_hi offset:-1    ; encoding: [0xff,0x5f,0x74,0xdc,0x00,0x02,0x6b,0x00]
+0xff,0x5f,0x74,0xdc,0x00,0x02,0x6b,0x00
 
-# CHECK: buffer_atomic_dec v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0x80
+# CHECK: scratch_store_dwordx2 v0, v[2:3], off offset:-1    ; encoding: [0xff,0x5f,0x74,0xdc,0x00,0x02,0x7f,0x00]
+0xff,0x5f,0x74,0xdc,0x00,0x02,0x7f,0x00
 
-# CHECK: buffer_atomic_dec v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: scratch_store_dwordx2 off, v[2:3], s3    ; encoding: [0x00,0x40,0x74,0xdc,0x00,0x02,0x03,0x00]
+0x00,0x40,0x74,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_dec v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: scratch_store_dwordx2 off, v[2:3], s3 offset:4095    ; encoding: [0xff,0x4f,0x74,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x4f,0x74,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_dec v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: scratch_store_dwordx2 off, v[2:3], s3 offset:-4096    ; encoding: [0x00,0x50,0x74,0xdc,0x00,0x02,0x03,0x00]
+0x00,0x50,0x74,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_dec v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x30,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x30,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_dwordx2 off, v[2:3], s3 offset:-1 glc    ; encoding: [0xff,0x5f,0x75,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x5f,0x75,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_dec v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x30,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x30,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_dwordx2 off, v[2:3], s3 offset:-1 slc    ; encoding: [0xff,0x5f,0x76,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x5f,0x76,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_dec v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x30,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0x30,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_dwordx3 off, v[2:4], s3 offset:-1    ; encoding: [0xff,0x5f,0x78,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x5f,0x78,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_dec v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x30,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0x30,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_dwordx3 off, v[253:255], s3 offset:-1    ; encoding: [0xff,0x5f,0x78,0xdc,0x00,0xfd,0x03,0x00]
+0xff,0x5f,0x78,0xdc,0x00,0xfd,0x03,0x00
 
-# CHECK: buffer_atomic_dec v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x30,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x30,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_dwordx3 off, v[2:4], s101 offset:-1    ; encoding: [0xff,0x5f,0x78,0xdc,0x00,0x02,0x65,0x00]
+0xff,0x5f,0x78,0xdc,0x00,0x02,0x65,0x00
 
-# CHECK: buffer_atomic_dec v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x32,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x32,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_dwordx3 off, v[2:4], flat_scratch_lo offset:-1    ; encoding: [0xff,0x5f,0x78,0xdc,0x00,0x02,0x66,0x00]
+0xff,0x5f,0x78,0xdc,0x00,0x02,0x66,0x00
 
-# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_dwordx3 off, v[2:4], flat_scratch_hi offset:-1    ; encoding: [0xff,0x5f,0x78,0xdc,0x00,0x02,0x67,0x00]
+0xff,0x5f,0x78,0xdc,0x00,0x02,0x67,0x00
 
-# CHECK: buffer_atomic_swap_x2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe1,0x00,0xfe,0x02,0x03]
-0xff,0x0f,0x80,0xe1,0x00,0xfe,0x02,0x03
+# CHECK: scratch_store_dwordx3 off, v[2:4], vcc_lo offset:-1    ; encoding: [0xff,0x5f,0x78,0xdc,0x00,0x02,0x6a,0x00]
+0xff,0x5f,0x78,0xdc,0x00,0x02,0x6a,0x00
 
-# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x80,0xe1,0x00,0x05,0x03,0x03
+# CHECK: scratch_store_dwordx3 off, v[2:4], vcc_hi offset:-1    ; encoding: [0xff,0x5f,0x78,0xdc,0x00,0x02,0x6b,0x00]
+0xff,0x5f,0x78,0xdc,0x00,0x02,0x6b,0x00
 
-# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x80,0xe1,0x00,0x05,0x18,0x03
+# CHECK: scratch_store_dwordx3 v0, v[2:4], off offset:-1    ; encoding: [0xff,0x5f,0x78,0xdc,0x00,0x02,0x7f,0x00]
+0xff,0x5f,0x78,0xdc,0x00,0x02,0x7f,0x00
 
-# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0x65
+# CHECK: scratch_store_dwordx3 off, v[2:4], s3    ; encoding: [0x00,0x40,0x78,0xdc,0x00,0x02,0x03,0x00]
+0x00,0x40,0x78,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: scratch_store_dwordx3 off, v[2:4], s3 offset:4095    ; encoding: [0xff,0x4f,0x78,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x4f,0x78,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0x80
+# CHECK: scratch_store_dwordx3 off, v[2:4], s3 offset:-4096    ; encoding: [0x00,0x50,0x78,0xdc,0x00,0x02,0x03,0x00]
+0x00,0x50,0x78,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: scratch_store_dwordx3 off, v[2:4], s3 offset:-1 glc    ; encoding: [0xff,0x5f,0x79,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x5f,0x79,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: scratch_store_dwordx3 off, v[2:4], s3 offset:-1 slc    ; encoding: [0xff,0x5f,0x7a,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x5f,0x7a,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: scratch_store_dwordx4 off, v[2:5], s3 offset:-1    ; encoding: [0xff,0x5f,0x7c,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x5f,0x7c,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_swap_x2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x80,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x80,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_dwordx4 off, v[252:255], s3 offset:-1    ; encoding: [0xff,0x5f,0x7c,0xdc,0x00,0xfc,0x03,0x00]
+0xff,0x5f,0x7c,0xdc,0x00,0xfc,0x03,0x00
 
-# CHECK: buffer_atomic_swap_x2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x80,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x80,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_dwordx4 off, v[2:5], s101 offset:-1    ; encoding: [0xff,0x5f,0x7c,0xdc,0x00,0x02,0x65,0x00]
+0xff,0x5f,0x7c,0xdc,0x00,0x02,0x65,0x00
 
-# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0x80,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0x80,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_dwordx4 off, v[2:5], flat_scratch_lo offset:-1    ; encoding: [0xff,0x5f,0x7c,0xdc,0x00,0x02,0x66,0x00]
+0xff,0x5f,0x7c,0xdc,0x00,0x02,0x66,0x00
 
-# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x80,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0x80,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_dwordx4 off, v[2:5], flat_scratch_hi offset:-1    ; encoding: [0xff,0x5f,0x7c,0xdc,0x00,0x02,0x67,0x00]
+0xff,0x5f,0x7c,0xdc,0x00,0x02,0x67,0x00
 
-# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x80,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x80,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_dwordx4 off, v[2:5], vcc_lo offset:-1    ; encoding: [0xff,0x5f,0x7c,0xdc,0x00,0x02,0x6a,0x00]
+0xff,0x5f,0x7c,0xdc,0x00,0x02,0x6a,0x00
 
-# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x82,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x82,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_dwordx4 off, v[2:5], vcc_hi offset:-1    ; encoding: [0xff,0x5f,0x7c,0xdc,0x00,0x02,0x6b,0x00]
+0xff,0x5f,0x7c,0xdc,0x00,0x02,0x6b,0x00
 
-# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_store_dwordx4 v0, v[2:5], off offset:-1    ; encoding: [0xff,0x5f,0x7c,0xdc,0x00,0x02,0x7f,0x00]
+0xff,0x5f,0x7c,0xdc,0x00,0x02,0x7f,0x00
 
-# CHECK: buffer_atomic_cmpswap_x2 v[252:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe1,0x00,0xfc,0x02,0x03]
-0xff,0x0f,0x84,0xe1,0x00,0xfc,0x02,0x03
+# CHECK: scratch_store_dwordx4 off, v[2:5], s3    ; encoding: [0x00,0x40,0x7c,0xdc,0x00,0x02,0x03,0x00]
+0x00,0x40,0x7c,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x84,0xe1,0x00,0x05,0x03,0x03
+# CHECK: scratch_store_dwordx4 off, v[2:5], s3 offset:4095    ; encoding: [0xff,0x4f,0x7c,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x4f,0x7c,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x84,0xe1,0x00,0x05,0x18,0x03
+# CHECK: scratch_store_dwordx4 off, v[2:5], s3 offset:-4096    ; encoding: [0x00,0x50,0x7c,0xdc,0x00,0x02,0x03,0x00]
+0x00,0x50,0x7c,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0x65
+# CHECK: scratch_store_dwordx4 off, v[2:5], s3 offset:-1 glc    ; encoding: [0xff,0x5f,0x7d,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x5f,0x7d,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: scratch_store_dwordx4 off, v[2:5], s3 offset:-1 slc    ; encoding: [0xff,0x5f,0x7e,0xdc,0x00,0x02,0x03,0x00]
+0xff,0x5f,0x7e,0xdc,0x00,0x02,0x03,0x00
 
-# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0x80
+# CHECK: scratch_load_ubyte_d16 v5, off, s2 offset:-1    ; encoding: [0xff,0x5f,0x80,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x80,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: scratch_load_ubyte_d16 v255, off, s2 offset:-1    ; encoding: [0xff,0x5f,0x80,0xdc,0x00,0x00,0x02,0xff]
+0xff,0x5f,0x80,0xdc,0x00,0x00,0x02,0xff
 
-# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: scratch_load_ubyte_d16 v5, off, s101 offset:-1    ; encoding: [0xff,0x5f,0x80,0xdc,0x00,0x00,0x65,0x05]
+0xff,0x5f,0x80,0xdc,0x00,0x00,0x65,0x05
 
-# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: scratch_load_ubyte_d16 v5, off, flat_scratch_lo offset:-1    ; encoding: [0xff,0x5f,0x80,0xdc,0x00,0x00,0x66,0x05]
+0xff,0x5f,0x80,0xdc,0x00,0x00,0x66,0x05
 
-# CHECK: buffer_atomic_cmpswap_x2 v[5:8], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x84,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x84,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_ubyte_d16 v5, off, flat_scratch_hi offset:-1    ; encoding: [0xff,0x5f,0x80,0xdc,0x00,0x00,0x67,0x05]
+0xff,0x5f,0x80,0xdc,0x00,0x00,0x67,0x05
 
-# CHECK: buffer_atomic_cmpswap_x2 v[5:8], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x84,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x84,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_ubyte_d16 v5, off, vcc_lo offset:-1    ; encoding: [0xff,0x5f,0x80,0xdc,0x00,0x00,0x6a,0x05]
+0xff,0x5f,0x80,0xdc,0x00,0x00,0x6a,0x05
 
-# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[8:11], s3    ; encoding: [0x00,0x00,0x84,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0x84,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_ubyte_d16 v5, off, vcc_hi offset:-1    ; encoding: [0xff,0x5f,0x80,0xdc,0x00,0x00,0x6b,0x05]
+0xff,0x5f,0x80,0xdc,0x00,0x00,0x6b,0x05
 
-# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x84,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0x84,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_ubyte_d16 v5, v0, off offset:-1    ; encoding: [0xff,0x5f,0x80,0xdc,0x00,0x00,0x7f,0x05]
+0xff,0x5f,0x80,0xdc,0x00,0x00,0x7f,0x05
 
-# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x84,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x84,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_ubyte_d16 v5, off, s2    ; encoding: [0x00,0x40,0x80,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x40,0x80,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x86,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x86,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_ubyte_d16 v5, off, s2 offset:4095    ; encoding: [0xff,0x4f,0x80,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x4f,0x80,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_add_x2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_ubyte_d16 v5, off, s2 offset:-4096    ; encoding: [0x00,0x50,0x80,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x50,0x80,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_add_x2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe1,0x00,0xfe,0x02,0x03]
-0xff,0x0f,0x88,0xe1,0x00,0xfe,0x02,0x03
+# CHECK: scratch_load_ubyte_d16 v5, off, s2 offset:-1 glc    ; encoding: [0xff,0x5f,0x81,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x81,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_add_x2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x88,0xe1,0x00,0x05,0x03,0x03
+# CHECK: scratch_load_ubyte_d16 v5, off, s2 offset:-1 slc    ; encoding: [0xff,0x5f,0x82,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x82,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_add_x2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x88,0xe1,0x00,0x05,0x18,0x03
+# CHECK: scratch_load_ubyte_d16_hi v5, off, s2 offset:-1    ; encoding: [0xff,0x5f,0x84,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x84,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_add_x2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0x65
+# CHECK: scratch_load_ubyte_d16_hi v255, off, s2 offset:-1    ; encoding: [0xff,0x5f,0x84,0xdc,0x00,0x00,0x02,0xff]
+0xff,0x5f,0x84,0xdc,0x00,0x00,0x02,0xff
 
-# CHECK: buffer_atomic_add_x2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: scratch_load_ubyte_d16_hi v5, off, s101 offset:-1    ; encoding: [0xff,0x5f,0x84,0xdc,0x00,0x00,0x65,0x05]
+0xff,0x5f,0x84,0xdc,0x00,0x00,0x65,0x05
 
-# CHECK: buffer_atomic_add_x2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0x80
+# CHECK: scratch_load_ubyte_d16_hi v5, off, flat_scratch_lo offset:-1    ; encoding: [0xff,0x5f,0x84,0xdc,0x00,0x00,0x66,0x05]
+0xff,0x5f,0x84,0xdc,0x00,0x00,0x66,0x05
 
-# CHECK: buffer_atomic_add_x2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: scratch_load_ubyte_d16_hi v5, off, flat_scratch_hi offset:-1    ; encoding: [0xff,0x5f,0x84,0xdc,0x00,0x00,0x67,0x05]
+0xff,0x5f,0x84,0xdc,0x00,0x00,0x67,0x05
 
-# CHECK: buffer_atomic_add_x2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: scratch_load_ubyte_d16_hi v5, off, vcc_lo offset:-1    ; encoding: [0xff,0x5f,0x84,0xdc,0x00,0x00,0x6a,0x05]
+0xff,0x5f,0x84,0xdc,0x00,0x00,0x6a,0x05
 
-# CHECK: buffer_atomic_add_x2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: scratch_load_ubyte_d16_hi v5, off, vcc_hi offset:-1    ; encoding: [0xff,0x5f,0x84,0xdc,0x00,0x00,0x6b,0x05]
+0xff,0x5f,0x84,0xdc,0x00,0x00,0x6b,0x05
 
-# CHECK: buffer_atomic_add_x2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x88,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x88,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_ubyte_d16_hi v5, v0, off offset:-1    ; encoding: [0xff,0x5f,0x84,0xdc,0x00,0x00,0x7f,0x05]
+0xff,0x5f,0x84,0xdc,0x00,0x00,0x7f,0x05
 
-# CHECK: buffer_atomic_add_x2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x88,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x88,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_ubyte_d16_hi v5, off, s2    ; encoding: [0x00,0x40,0x84,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x40,0x84,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_add_x2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0x88,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0x88,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_ubyte_d16_hi v5, off, s2 offset:4095    ; encoding: [0xff,0x4f,0x84,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x4f,0x84,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_add_x2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x88,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0x88,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_ubyte_d16_hi v5, off, s2 offset:-4096    ; encoding: [0x00,0x50,0x84,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x50,0x84,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_add_x2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x88,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x88,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_ubyte_d16_hi v5, off, s2 offset:-1 glc    ; encoding: [0xff,0x5f,0x85,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x85,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_add_x2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x8a,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x8a,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_ubyte_d16_hi v5, off, s2 offset:-1 slc    ; encoding: [0xff,0x5f,0x86,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x86,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_sbyte_d16 v5, off, s2 offset:-1    ; encoding: [0xff,0x5f,0x88,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x88,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_sub_x2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe1,0x00,0xfe,0x02,0x03]
-0xff,0x0f,0x8c,0xe1,0x00,0xfe,0x02,0x03
+# CHECK: scratch_load_sbyte_d16 v255, off, s2 offset:-1    ; encoding: [0xff,0x5f,0x88,0xdc,0x00,0x00,0x02,0xff]
+0xff,0x5f,0x88,0xdc,0x00,0x00,0x02,0xff
 
-# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x8c,0xe1,0x00,0x05,0x03,0x03
+# CHECK: scratch_load_sbyte_d16 v5, off, s101 offset:-1    ; encoding: [0xff,0x5f,0x88,0xdc,0x00,0x00,0x65,0x05]
+0xff,0x5f,0x88,0xdc,0x00,0x00,0x65,0x05
 
-# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x8c,0xe1,0x00,0x05,0x18,0x03
+# CHECK: scratch_load_sbyte_d16 v5, off, flat_scratch_lo offset:-1    ; encoding: [0xff,0x5f,0x88,0xdc,0x00,0x00,0x66,0x05]
+0xff,0x5f,0x88,0xdc,0x00,0x00,0x66,0x05
 
-# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0x65
+# CHECK: scratch_load_sbyte_d16 v5, off, flat_scratch_hi offset:-1    ; encoding: [0xff,0x5f,0x88,0xdc,0x00,0x00,0x67,0x05]
+0xff,0x5f,0x88,0xdc,0x00,0x00,0x67,0x05
 
-# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: scratch_load_sbyte_d16 v5, off, vcc_lo offset:-1    ; encoding: [0xff,0x5f,0x88,0xdc,0x00,0x00,0x6a,0x05]
+0xff,0x5f,0x88,0xdc,0x00,0x00,0x6a,0x05
 
-# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0x80
+# CHECK: scratch_load_sbyte_d16 v5, off, vcc_hi offset:-1    ; encoding: [0xff,0x5f,0x88,0xdc,0x00,0x00,0x6b,0x05]
+0xff,0x5f,0x88,0xdc,0x00,0x00,0x6b,0x05
 
-# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: scratch_load_sbyte_d16 v5, v0, off offset:-1    ; encoding: [0xff,0x5f,0x88,0xdc,0x00,0x00,0x7f,0x05]
+0xff,0x5f,0x88,0xdc,0x00,0x00,0x7f,0x05
 
-# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: scratch_load_sbyte_d16 v5, off, s2    ; encoding: [0x00,0x40,0x88,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x40,0x88,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: scratch_load_sbyte_d16 v5, off, s2 offset:4095    ; encoding: [0xff,0x4f,0x88,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x4f,0x88,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_sub_x2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x8c,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x8c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_sbyte_d16 v5, off, s2 offset:-4096    ; encoding: [0x00,0x50,0x88,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x50,0x88,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_sub_x2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x8c,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x8c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_sbyte_d16 v5, off, s2 offset:-1 glc    ; encoding: [0xff,0x5f,0x89,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x89,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0x8c,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0x8c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_sbyte_d16 v5, off, s2 offset:-1 slc    ; encoding: [0xff,0x5f,0x8a,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x8a,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x8c,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0x8c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_sbyte_d16_hi v5, off, s2 offset:-1    ; encoding: [0xff,0x5f,0x8c,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x8c,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x8c,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x8c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_sbyte_d16_hi v255, off, s2 offset:-1    ; encoding: [0xff,0x5f,0x8c,0xdc,0x00,0x00,0x02,0xff]
+0xff,0x5f,0x8c,0xdc,0x00,0x00,0x02,0xff
 
-# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x8e,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x8e,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_sbyte_d16_hi v5, off, s101 offset:-1    ; encoding: [0xff,0x5f,0x8c,0xdc,0x00,0x00,0x65,0x05]
+0xff,0x5f,0x8c,0xdc,0x00,0x00,0x65,0x05
 
-# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_sbyte_d16_hi v5, off, flat_scratch_lo offset:-1    ; encoding: [0xff,0x5f,0x8c,0xdc,0x00,0x00,0x66,0x05]
+0xff,0x5f,0x8c,0xdc,0x00,0x00,0x66,0x05
 
-# CHECK: buffer_atomic_smin_x2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe1,0x00,0xfe,0x02,0x03]
-0xff,0x0f,0x90,0xe1,0x00,0xfe,0x02,0x03
+# CHECK: scratch_load_sbyte_d16_hi v5, off, flat_scratch_hi offset:-1    ; encoding: [0xff,0x5f,0x8c,0xdc,0x00,0x00,0x67,0x05]
+0xff,0x5f,0x8c,0xdc,0x00,0x00,0x67,0x05
 
-# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x90,0xe1,0x00,0x05,0x03,0x03
+# CHECK: scratch_load_sbyte_d16_hi v5, off, vcc_lo offset:-1    ; encoding: [0xff,0x5f,0x8c,0xdc,0x00,0x00,0x6a,0x05]
+0xff,0x5f,0x8c,0xdc,0x00,0x00,0x6a,0x05
 
-# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x90,0xe1,0x00,0x05,0x18,0x03
+# CHECK: scratch_load_sbyte_d16_hi v5, off, vcc_hi offset:-1    ; encoding: [0xff,0x5f,0x8c,0xdc,0x00,0x00,0x6b,0x05]
+0xff,0x5f,0x8c,0xdc,0x00,0x00,0x6b,0x05
 
-# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0x65
+# CHECK: scratch_load_sbyte_d16_hi v5, v0, off offset:-1    ; encoding: [0xff,0x5f,0x8c,0xdc,0x00,0x00,0x7f,0x05]
+0xff,0x5f,0x8c,0xdc,0x00,0x00,0x7f,0x05
 
-# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: scratch_load_sbyte_d16_hi v5, off, s2    ; encoding: [0x00,0x40,0x8c,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x40,0x8c,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0x80
+# CHECK: scratch_load_sbyte_d16_hi v5, off, s2 offset:4095    ; encoding: [0xff,0x4f,0x8c,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x4f,0x8c,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: scratch_load_sbyte_d16_hi v5, off, s2 offset:-4096    ; encoding: [0x00,0x50,0x8c,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x50,0x8c,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: scratch_load_sbyte_d16_hi v5, off, s2 offset:-1 glc    ; encoding: [0xff,0x5f,0x8d,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x8d,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: scratch_load_sbyte_d16_hi v5, off, s2 offset:-1 slc    ; encoding: [0xff,0x5f,0x8e,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x8e,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smin_x2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x90,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x90,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_short_d16 v5, off, s2 offset:-1    ; encoding: [0xff,0x5f,0x90,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x90,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smin_x2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x90,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x90,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_short_d16 v255, off, s2 offset:-1    ; encoding: [0xff,0x5f,0x90,0xdc,0x00,0x00,0x02,0xff]
+0xff,0x5f,0x90,0xdc,0x00,0x00,0x02,0xff
 
-# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0x90,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0x90,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_short_d16 v5, off, s101 offset:-1    ; encoding: [0xff,0x5f,0x90,0xdc,0x00,0x00,0x65,0x05]
+0xff,0x5f,0x90,0xdc,0x00,0x00,0x65,0x05
 
-# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x90,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0x90,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_short_d16 v5, off, flat_scratch_lo offset:-1    ; encoding: [0xff,0x5f,0x90,0xdc,0x00,0x00,0x66,0x05]
+0xff,0x5f,0x90,0xdc,0x00,0x00,0x66,0x05
 
-# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x90,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x90,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_short_d16 v5, off, flat_scratch_hi offset:-1    ; encoding: [0xff,0x5f,0x90,0xdc,0x00,0x00,0x67,0x05]
+0xff,0x5f,0x90,0xdc,0x00,0x00,0x67,0x05
 
-# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x92,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x92,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_short_d16 v5, off, vcc_lo offset:-1    ; encoding: [0xff,0x5f,0x90,0xdc,0x00,0x00,0x6a,0x05]
+0xff,0x5f,0x90,0xdc,0x00,0x00,0x6a,0x05
 
-# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_short_d16 v5, off, vcc_hi offset:-1    ; encoding: [0xff,0x5f,0x90,0xdc,0x00,0x00,0x6b,0x05]
+0xff,0x5f,0x90,0xdc,0x00,0x00,0x6b,0x05
 
-# CHECK: buffer_atomic_umin_x2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe1,0x00,0xfe,0x02,0x03]
-0xff,0x0f,0x94,0xe1,0x00,0xfe,0x02,0x03
-
-# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x94,0xe1,0x00,0x05,0x03,0x03
+# CHECK: scratch_load_short_d16 v5, v0, off offset:-1    ; encoding: [0xff,0x5f,0x90,0xdc,0x00,0x00,0x7f,0x05]
+0xff,0x5f,0x90,0xdc,0x00,0x00,0x7f,0x05
 
-# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x94,0xe1,0x00,0x05,0x18,0x03
+# CHECK: scratch_load_short_d16 v5, off, s2    ; encoding: [0x00,0x40,0x90,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x40,0x90,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0x65
+# CHECK: scratch_load_short_d16 v5, off, s2 offset:4095    ; encoding: [0xff,0x4f,0x90,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x4f,0x90,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: scratch_load_short_d16 v5, off, s2 offset:-4096    ; encoding: [0x00,0x50,0x90,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x50,0x90,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0x80
+# CHECK: scratch_load_short_d16 v5, off, s2 offset:-1 glc    ; encoding: [0xff,0x5f,0x91,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x91,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: scratch_load_short_d16 v5, off, s2 offset:-1 slc    ; encoding: [0xff,0x5f,0x92,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x92,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: scratch_load_short_d16_hi v5, off, s2 offset:-1    ; encoding: [0xff,0x5f,0x94,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x94,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: scratch_load_short_d16_hi v255, off, s2 offset:-1    ; encoding: [0xff,0x5f,0x94,0xdc,0x00,0x00,0x02,0xff]
+0xff,0x5f,0x94,0xdc,0x00,0x00,0x02,0xff
 
-# CHECK: buffer_atomic_umin_x2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x94,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x94,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_short_d16_hi v5, off, s101 offset:-1    ; encoding: [0xff,0x5f,0x94,0xdc,0x00,0x00,0x65,0x05]
+0xff,0x5f,0x94,0xdc,0x00,0x00,0x65,0x05
 
-# CHECK: buffer_atomic_umin_x2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x94,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x94,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_short_d16_hi v5, off, flat_scratch_lo offset:-1    ; encoding: [0xff,0x5f,0x94,0xdc,0x00,0x00,0x66,0x05]
+0xff,0x5f,0x94,0xdc,0x00,0x00,0x66,0x05
 
-# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0x94,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0x94,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_short_d16_hi v5, off, flat_scratch_hi offset:-1    ; encoding: [0xff,0x5f,0x94,0xdc,0x00,0x00,0x67,0x05]
+0xff,0x5f,0x94,0xdc,0x00,0x00,0x67,0x05
 
-# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x94,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0x94,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_short_d16_hi v5, off, vcc_lo offset:-1    ; encoding: [0xff,0x5f,0x94,0xdc,0x00,0x00,0x6a,0x05]
+0xff,0x5f,0x94,0xdc,0x00,0x00,0x6a,0x05
 
-# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x94,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x94,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_short_d16_hi v5, off, vcc_hi offset:-1    ; encoding: [0xff,0x5f,0x94,0xdc,0x00,0x00,0x6b,0x05]
+0xff,0x5f,0x94,0xdc,0x00,0x00,0x6b,0x05
 
-# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x96,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x96,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_short_d16_hi v5, v0, off offset:-1    ; encoding: [0xff,0x5f,0x94,0xdc,0x00,0x00,0x7f,0x05]
+0xff,0x5f,0x94,0xdc,0x00,0x00,0x7f,0x05
 
-# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0x03
+# CHECK: scratch_load_short_d16_hi v5, off, s2    ; encoding: [0x00,0x40,0x94,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x40,0x94,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smax_x2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x98,0xe1,0x00,0xfe,0x02,0x03]
-0xff,0x0f,0x98,0xe1,0x00,0xfe,0x02,0x03
+# CHECK: scratch_load_short_d16_hi v5, off, s2 offset:4095    ; encoding: [0xff,0x4f,0x94,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x4f,0x94,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x98,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x98,0xe1,0x00,0x05,0x03,0x03
+# CHECK: scratch_load_short_d16_hi v5, off, s2 offset:-4096    ; encoding: [0x00,0x50,0x94,0xdc,0x00,0x00,0x02,0x05]
+0x00,0x50,0x94,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x98,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x98,0xe1,0x00,0x05,0x18,0x03
+# CHECK: scratch_load_short_d16_hi v5, off, s2 offset:-1 glc    ; encoding: [0xff,0x5f,0x95,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x95,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0x65
+# CHECK: scratch_load_short_d16_hi v5, off, s2 offset:-1 slc    ; encoding: [0xff,0x5f,0x96,0xdc,0x00,0x00,0x02,0x05]
+0xff,0x5f,0x96,0xdc,0x00,0x00,0x02,0x05
 
-# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: image_get_resinfo v5, v1, s[8:15] dmask:0x1    ; encoding: [0x00,0x01,0x38,0xf0,0x01,0x05,0x02,0x00]
+0x00,0x01,0x38,0xf0,0x01,0x05,0x02,0x00
 
-# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0x80
+# CHECK: image_get_resinfo v252, v1, s[8:15] dmask:0x1    ; encoding: [0x00,0x01,0x38,0xf0,0x01,0xfc,0x02,0x00]
+0x00,0x01,0x38,0xf0,0x01,0xfc,0x02,0x00
 
-# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: image_get_resinfo v5, v255, s[8:15] dmask:0x1    ; encoding: [0x00,0x01,0x38,0xf0,0xff,0x05,0x02,0x00]
+0x00,0x01,0x38,0xf0,0xff,0x05,0x02,0x00
 
-# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: image_get_resinfo v5, v1, s[12:19] dmask:0x1    ; encoding: [0x00,0x01,0x38,0xf0,0x01,0x05,0x03,0x00]
+0x00,0x01,0x38,0xf0,0x01,0x05,0x03,0x00
 
-# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: image_get_resinfo v5, v1, s[92:99] dmask:0x1    ; encoding: [0x00,0x01,0x38,0xf0,0x01,0x05,0x17,0x00]
+0x00,0x01,0x38,0xf0,0x01,0x05,0x17,0x00
 
-# CHECK: buffer_atomic_smax_x2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x98,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x98,0xe1,0x00,0x05,0x02,0x03
+# CHECK: image_get_resinfo v5, v1, s[8:15] dmask:0x2    ; encoding: [0x00,0x02,0x38,0xf0,0x01,0x05,0x02,0x00]
+0x00,0x02,0x38,0xf0,0x01,0x05,0x02,0x00
 
-# CHECK: buffer_atomic_smax_x2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x98,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x98,0xe1,0x00,0x05,0x02,0x03
+# CHECK: image_get_resinfo v[5:6], v1, s[8:15] dmask:0x3    ; encoding: [0x00,0x03,0x38,0xf0,0x01,0x05,0x02,0x00]
+0x00,0x03,0x38,0xf0,0x01,0x05,0x02,0x00
 
-# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0x98,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0x98,0xe1,0x00,0x05,0x02,0x03
+# CHECK: image_get_resinfo v5, v1, s[8:15] dmask:0x4    ; encoding: [0x00,0x04,0x38,0xf0,0x01,0x05,0x02,0x00]
+0x00,0x04,0x38,0xf0,0x01,0x05,0x02,0x00
 
-# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x98,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0x98,0xe1,0x00,0x05,0x02,0x03
+# CHECK: image_get_resinfo v[5:6], v1, s[8:15] dmask:0x5    ; encoding: [0x00,0x05,0x38,0xf0,0x01,0x05,0x02,0x00]
+0x00,0x05,0x38,0xf0,0x01,0x05,0x02,0x00
 
-# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x98,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x98,0xe1,0x00,0x05,0x02,0x03
+# CHECK: image_get_resinfo v[5:6], v1, s[8:15] dmask:0x6    ; encoding: [0x00,0x06,0x38,0xf0,0x01,0x05,0x02,0x00]
+0x00,0x06,0x38,0xf0,0x01,0x05,0x02,0x00
 
-# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x9a,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x9a,0xe1,0x00,0x05,0x02,0x03
+# CHECK: image_get_resinfo v[5:7], v1, s[8:15] dmask:0x7    ; encoding: [0x00,0x07,0x38,0xf0,0x01,0x05,0x02,0x00]
+0x00,0x07,0x38,0xf0,0x01,0x05,0x02,0x00
 
-# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: image_get_resinfo v5, v1, s[8:15] dmask:0x8    ; encoding: [0x00,0x08,0x38,0xf0,0x01,0x05,0x02,0x00]
+0x00,0x08,0x38,0xf0,0x01,0x05,0x02,0x00
 
-# CHECK: buffer_atomic_umax_x2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x9c,0xe1,0x00,0xfe,0x02,0x03]
-0xff,0x0f,0x9c,0xe1,0x00,0xfe,0x02,0x03
+# CHECK: image_get_resinfo v[5:6], v1, s[8:15] dmask:0x9    ; encoding: [0x00,0x09,0x38,0xf0,0x01,0x05,0x02,0x00]
+0x00,0x09,0x38,0xf0,0x01,0x05,0x02,0x00
 
-# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x9c,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0x9c,0xe1,0x00,0x05,0x03,0x03
+# CHECK: image_get_resinfo v[5:6], v1, s[8:15] dmask:0xa    ; encoding: [0x00,0x0a,0x38,0xf0,0x01,0x05,0x02,0x00]
+0x00,0x0a,0x38,0xf0,0x01,0x05,0x02,0x00
 
-# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x9c,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0x9c,0xe1,0x00,0x05,0x18,0x03
+# CHECK: image_get_resinfo v[5:7], v1, s[8:15] dmask:0xb    ; encoding: [0x00,0x0b,0x38,0xf0,0x01,0x05,0x02,0x00]
+0x00,0x0b,0x38,0xf0,0x01,0x05,0x02,0x00
 
-# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0x65
+# CHECK: image_get_resinfo v[5:6], v1, s[8:15] dmask:0xc    ; encoding: [0x00,0x0c,0x38,0xf0,0x01,0x05,0x02,0x00]
+0x00,0x0c,0x38,0xf0,0x01,0x05,0x02,0x00
 
-# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: image_get_resinfo v[5:7], v1, s[8:15] dmask:0xd    ; encoding: [0x00,0x0d,0x38,0xf0,0x01,0x05,0x02,0x00]
+0x00,0x0d,0x38,0xf0,0x01,0x05,0x02,0x00
 
-# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0x80
+# CHECK: image_get_resinfo v[5:7], v1, s[8:15] dmask:0xe    ; encoding: [0x00,0x0e,0x38,0xf0,0x01,0x05,0x02,0x00]
+0x00,0x0e,0x38,0xf0,0x01,0x05,0x02,0x00
 
-# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: image_get_resinfo v[5:8], v1, s[8:15] dmask:0xf    ; encoding: [0x00,0x0f,0x38,0xf0,0x01,0x05,0x02,0x00]
+0x00,0x0f,0x38,0xf0,0x01,0x05,0x02,0x00
 
-# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: image_get_resinfo v5, v1, s[8:15] dmask:0x1 unorm    ; encoding: [0x00,0x11,0x38,0xf0,0x01,0x05,0x02,0x00]
+0x00,0x11,0x38,0xf0,0x01,0x05,0x02,0x00
 
-# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: image_get_resinfo v5, v1, s[8:15] dmask:0x1 glc    ; encoding: [0x00,0x21,0x38,0xf0,0x01,0x05,0x02,0x00]
+0x00,0x21,0x38,0xf0,0x01,0x05,0x02,0x00
 
-# CHECK: buffer_atomic_umax_x2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x9c,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0x9c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: image_get_resinfo v5, v1, s[8:15] dmask:0x1 slc    ; encoding: [0x00,0x01,0x38,0xf2,0x01,0x05,0x02,0x00]
+0x00,0x01,0x38,0xf2,0x01,0x05,0x02,0x00
 
-# CHECK: buffer_atomic_umax_x2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x9c,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0x9c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: image_get_resinfo v5, v1, s[8:15] dmask:0x1 lwe    ; encoding: [0x00,0x01,0x3a,0xf0,0x01,0x05,0x02,0x00]
+0x00,0x01,0x3a,0xf0,0x01,0x05,0x02,0x00
 
-# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0x9c,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0x9c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: image_get_resinfo v5, v1, s[8:15] dmask:0x1 da    ; encoding: [0x00,0x41,0x38,0xf0,0x01,0x05,0x02,0x00]
+0x00,0x41,0x38,0xf0,0x01,0x05,0x02,0x00
 
-# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x9c,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0x9c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_x v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x9c,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0x9c,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_x v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe0,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x00,0xe0,0x00,0xff,0x02,0x03
 
-# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x9e,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0x9e,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_x v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe0,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x00,0xe0,0x00,0x05,0x03,0x03
 
-# CHECK: buffer_atomic_and_x2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_x v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe0,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x00,0xe0,0x00,0x05,0x18,0x03
 
-# CHECK: buffer_atomic_and_x2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0xa0,0xe1,0x00,0xfe,0x02,0x03]
-0xff,0x0f,0xa0,0xe1,0x00,0xfe,0x02,0x03
+# CHECK: buffer_load_format_x v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0x65
 
-# CHECK: buffer_atomic_and_x2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0xa0,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0xa0,0xe1,0x00,0x05,0x03,0x03
+# CHECK: buffer_load_format_x v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0x7c
 
-# CHECK: buffer_atomic_and_x2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0xa0,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0xa0,0xe1,0x00,0x05,0x18,0x03
+# CHECK: buffer_load_format_x v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0x80
 
-# CHECK: buffer_atomic_and_x2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0x65
+# CHECK: buffer_load_format_x v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0xc1
 
-# CHECK: buffer_atomic_and_x2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: buffer_load_format_x v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0xf0
 
-# CHECK: buffer_atomic_and_x2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0x80
+# CHECK: buffer_load_format_x v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x00,0xe0,0x00,0x05,0x02,0xf7
 
-# CHECK: buffer_atomic_and_x2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: buffer_load_format_x v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x00,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x00,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_and_x2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: buffer_load_format_x v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x00,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x00,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_and_x2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: buffer_load_format_x v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x00,0xe0,0x00,0x05,0x02,0x03]
+0x00,0x00,0x00,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_and_x2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0xa0,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0xa0,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_x v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x00,0xe0,0x00,0x05,0x02,0x03]
+0x07,0x00,0x00,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_and_x2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0xa0,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0xa0,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_x v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x00,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x00,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_and_x2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0xa0,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0xa0,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_x v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x02,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x02,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_and_x2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0xa0,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0xa0,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_x v5, off, s[8:11], s3 offset:4095 lds    ; encoding: [0xff,0x0f,0x01,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x01,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_and_x2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0xa0,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0xa0,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_xy v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_and_x2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0xa2,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0xa2,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_xy v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe0,0x00,0xfe,0x02,0x03]
+0xff,0x0f,0x04,0xe0,0x00,0xfe,0x02,0x03
 
-# CHECK: buffer_atomic_or_x2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_xy v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe0,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x04,0xe0,0x00,0x05,0x03,0x03
 
-# CHECK: buffer_atomic_or_x2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0xa4,0xe1,0x00,0xfe,0x02,0x03]
-0xff,0x0f,0xa4,0xe1,0x00,0xfe,0x02,0x03
+# CHECK: buffer_load_format_xy v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe0,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x04,0xe0,0x00,0x05,0x18,0x03
 
-# CHECK: buffer_atomic_or_x2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0xa4,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0xa4,0xe1,0x00,0x05,0x03,0x03
+# CHECK: buffer_load_format_xy v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0x65
 
-# CHECK: buffer_atomic_or_x2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0xa4,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0xa4,0xe1,0x00,0x05,0x18,0x03
+# CHECK: buffer_load_format_xy v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0x7c
 
-# CHECK: buffer_atomic_or_x2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0x65
+# CHECK: buffer_load_format_xy v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0x80
 
-# CHECK: buffer_atomic_or_x2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: buffer_load_format_xy v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0xc1
 
-# CHECK: buffer_atomic_or_x2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0x80
+# CHECK: buffer_load_format_xy v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0xf0
 
-# CHECK: buffer_atomic_or_x2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: buffer_load_format_xy v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x04,0xe0,0x00,0x05,0x02,0xf7
 
-# CHECK: buffer_atomic_or_x2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: buffer_load_format_xy v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x04,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x04,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_or_x2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: buffer_load_format_xy v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x04,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x04,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_or_x2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0xa4,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0xa4,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_xy v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0x04,0xe0,0x00,0x05,0x02,0x03]
+0x00,0x00,0x04,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_or_x2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0xa4,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0xa4,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_xy v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x04,0xe0,0x00,0x05,0x02,0x03]
+0x07,0x00,0x04,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_or_x2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0xa4,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0xa4,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_xy v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x04,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x04,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_or_x2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0xa4,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0xa4,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_xy v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x06,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x06,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_or_x2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0xa4,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0xa4,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_xyz v[5:7], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_or_x2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0xa6,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0xa6,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_xyz v[253:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe0,0x00,0xfd,0x02,0x03]
+0xff,0x0f,0x08,0xe0,0x00,0xfd,0x02,0x03
 
-# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_xyz v[5:7], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe0,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x08,0xe0,0x00,0x05,0x03,0x03
 
-# CHECK: buffer_atomic_xor_x2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0xa8,0xe1,0x00,0xfe,0x02,0x03]
-0xff,0x0f,0xa8,0xe1,0x00,0xfe,0x02,0x03
+# CHECK: buffer_load_format_xyz v[5:7], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe0,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x08,0xe0,0x00,0x05,0x18,0x03
 
-# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0xa8,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0xa8,0xe1,0x00,0x05,0x03,0x03
+# CHECK: buffer_load_format_xyz v[5:7], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0x65
 
-# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0xa8,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0xa8,0xe1,0x00,0x05,0x18,0x03
+# CHECK: buffer_load_format_xyz v[5:7], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0x7c
 
-# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0x65
+# CHECK: buffer_load_format_xyz v[5:7], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0x80
 
-# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: buffer_load_format_xyz v[5:7], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0xc1
 
-# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0x80
+# CHECK: buffer_load_format_xyz v[5:7], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0xf0
 
-# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: buffer_load_format_xyz v[5:7], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x08,0xe0,0x00,0x05,0x02,0xf7
 
-# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: buffer_load_format_xyz v[5:7], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x08,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x08,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: buffer_load_format_xyz v[5:7], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x08,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x08,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_xor_x2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0xa8,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0xa8,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_xyz v[5:7], off, s[8:11], s3    ; encoding: [0x00,0x00,0x08,0xe0,0x00,0x05,0x02,0x03]
+0x00,0x00,0x08,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_xor_x2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0xa8,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0xa8,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_xyz v[5:7], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x08,0xe0,0x00,0x05,0x02,0x03]
+0x07,0x00,0x08,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0xa8,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0xa8,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_xyz v[5:7], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x08,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x08,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0xa8,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0xa8,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_xyz v[5:7], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x0a,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x0a,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0xa8,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0xa8,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_xyzw v[5:8], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0xaa,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0xaa,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_xyzw v[252:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe0,0x00,0xfc,0x02,0x03]
+0xff,0x0f,0x0c,0xe0,0x00,0xfc,0x02,0x03
 
-# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_xyzw v[5:8], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe0,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x0c,0xe0,0x00,0x05,0x03,0x03
 
-# CHECK: buffer_atomic_inc_x2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0xac,0xe1,0x00,0xfe,0x02,0x03]
-0xff,0x0f,0xac,0xe1,0x00,0xfe,0x02,0x03
+# CHECK: buffer_load_format_xyzw v[5:8], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe0,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x0c,0xe0,0x00,0x05,0x18,0x03
 
-# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0xac,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0xac,0xe1,0x00,0x05,0x03,0x03
+# CHECK: buffer_load_format_xyzw v[5:8], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0x65
 
-# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0xac,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0xac,0xe1,0x00,0x05,0x18,0x03
+# CHECK: buffer_load_format_xyzw v[5:8], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0x7c
 
-# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0x65
+# CHECK: buffer_load_format_xyzw v[5:8], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0x80
 
-# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: buffer_load_format_xyzw v[5:8], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0xc1
 
-# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0x80
+# CHECK: buffer_load_format_xyzw v[5:8], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0xf0
 
-# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: buffer_load_format_xyzw v[5:8], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x0c,0xe0,0x00,0x05,0x02,0xf7
 
-# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: buffer_load_format_xyzw v[5:8], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x0c,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x0c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: buffer_load_format_xyzw v[5:8], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x0c,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x0c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_inc_x2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0xac,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0xac,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_xyzw v[5:8], off, s[8:11], s3    ; encoding: [0x00,0x00,0x0c,0xe0,0x00,0x05,0x02,0x03]
+0x00,0x00,0x0c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_inc_x2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0xac,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0xac,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_xyzw v[5:8], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x0c,0xe0,0x00,0x05,0x02,0x03]
+0x07,0x00,0x0c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0xac,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0xac,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_xyzw v[5:8], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x0c,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x0c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0xac,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0xac,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_load_format_xyzw v[5:8], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x0e,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x0e,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0xac,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0xac,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_store_format_x v1, off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0xae,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0xae,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_store_format_x v255, off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe0,0x00,0xff,0x03,0x04]
+0xff,0x0f,0x10,0xe0,0x00,0xff,0x03,0x04
 
-# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_store_format_x v1, off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe0,0x00,0x01,0x04,0x04]
+0xff,0x0f,0x10,0xe0,0x00,0x01,0x04,0x04
 
-# CHECK: buffer_atomic_dec_x2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0xb0,0xe1,0x00,0xfe,0x02,0x03]
-0xff,0x0f,0xb0,0xe1,0x00,0xfe,0x02,0x03
+# CHECK: buffer_store_format_x v1, off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe0,0x00,0x01,0x18,0x04]
+0xff,0x0f,0x10,0xe0,0x00,0x01,0x18,0x04
 
-# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0xb0,0xe1,0x00,0x05,0x03,0x03]
-0xff,0x0f,0xb0,0xe1,0x00,0x05,0x03,0x03
+# CHECK: buffer_store_format_x v1, off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0x65]
+0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0x65
 
-# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0xb0,0xe1,0x00,0x05,0x18,0x03]
-0xff,0x0f,0xb0,0xe1,0x00,0x05,0x18,0x03
+# CHECK: buffer_store_format_x v1, off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0x7c]
+0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0x7c
 
-# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0x65]
-0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0x65
+# CHECK: buffer_store_format_x v1, off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0x80]
+0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0x80
 
-# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0x7c]
-0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0x7c
+# CHECK: buffer_store_format_x v1, off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0xc1]
+0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0xc1
 
-# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0x80]
-0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0x80
+# CHECK: buffer_store_format_x v1, off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0xf0]
+0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0xf0
 
-# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0xc1]
-0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0xc1
+# CHECK: buffer_store_format_x v1, off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0xf7]
+0xff,0x0f,0x10,0xe0,0x00,0x01,0x03,0xf7
 
-# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0xf0]
-0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0xf0
+# CHECK: buffer_store_format_x v1, v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x10,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x2f,0x10,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0xf7]
-0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0xf7
+# CHECK: buffer_store_format_x v1, v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x10,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x1f,0x10,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: buffer_atomic_dec_x2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0xb0,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x2f,0xb0,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_store_format_x v1, off, s[12:15], s4    ; encoding: [0x00,0x00,0x10,0xe0,0x00,0x01,0x03,0x04]
+0x00,0x00,0x10,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: buffer_atomic_dec_x2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0xb0,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x1f,0xb0,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_store_format_x v1, off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x10,0xe0,0x00,0x01,0x03,0x04]
+0x07,0x00,0x10,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0xb0,0xe1,0x00,0x05,0x02,0x03]
-0x00,0x00,0xb0,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_store_format_x v1, off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x10,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x4f,0x10,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0xb0,0xe1,0x00,0x05,0x02,0x03]
-0x07,0x00,0xb0,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_store_format_x v1, off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x12,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x12,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0xb0,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x4f,0xb0,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_store_format_xy v[1:2], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0xb2,0xe1,0x00,0x05,0x02,0x03]
-0xff,0x0f,0xb2,0xe1,0x00,0x05,0x02,0x03
+# CHECK: buffer_store_format_xy v[254:255], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe0,0x00,0xfe,0x03,0x04]
+0xff,0x0f,0x14,0xe0,0x00,0xfe,0x03,0x04
 
-# CHECK: s_load_dword s5, s[2:3], s0    ; encoding: [0x41,0x01,0x00,0xc0,0x00,0x00,0x00,0x00]
-0x41,0x01,0x00,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xy v[1:2], off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe0,0x00,0x01,0x04,0x04]
+0xff,0x0f,0x14,0xe0,0x00,0x01,0x04,0x04
 
-# CHECK: s_load_dword s101, s[2:3], s0    ; encoding: [0x41,0x19,0x00,0xc0,0x00,0x00,0x00,0x00]
-0x41,0x19,0x00,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xy v[1:2], off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe0,0x00,0x01,0x18,0x04]
+0xff,0x0f,0x14,0xe0,0x00,0x01,0x18,0x04
 
-# CHECK: s_load_dword flat_scratch_lo, s[2:3], s0    ; encoding: [0x81,0x19,0x00,0xc0,0x00,0x00,0x00,0x00]
-0x81,0x19,0x00,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xy v[1:2], off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0x65]
+0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0x65
 
-# CHECK: s_load_dword flat_scratch_hi, s[2:3], s0    ; encoding: [0xc1,0x19,0x00,0xc0,0x00,0x00,0x00,0x00]
-0xc1,0x19,0x00,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xy v[1:2], off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0x7c]
+0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0x7c
 
-# CHECK: s_load_dword vcc_lo, s[2:3], s0    ; encoding: [0x81,0x1a,0x00,0xc0,0x00,0x00,0x00,0x00]
-0x81,0x1a,0x00,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xy v[1:2], off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0x80]
+0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0x80
 
-# CHECK: s_load_dword vcc_hi, s[2:3], s0    ; encoding: [0xc1,0x1a,0x00,0xc0,0x00,0x00,0x00,0x00]
-0xc1,0x1a,0x00,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xy v[1:2], off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0xc1]
+0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0xc1
 
-# CHECK: s_load_dword s5, s[4:5], s0    ; encoding: [0x42,0x01,0x00,0xc0,0x00,0x00,0x00,0x00]
-0x42,0x01,0x00,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xy v[1:2], off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0xf0]
+0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0xf0
 
-# CHECK: s_load_dword s5, s[100:101], s0    ; encoding: [0x72,0x01,0x00,0xc0,0x00,0x00,0x00,0x00]
-0x72,0x01,0x00,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xy v[1:2], off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0xf7]
+0xff,0x0f,0x14,0xe0,0x00,0x01,0x03,0xf7
 
-# CHECK: s_load_dword s5, flat_scratch, s0    ; encoding: [0x73,0x01,0x00,0xc0,0x00,0x00,0x00,0x00]
-0x73,0x01,0x00,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xy v[1:2], v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x14,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x2f,0x14,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_load_dword s5, vcc, s0    ; encoding: [0x75,0x01,0x00,0xc0,0x00,0x00,0x00,0x00]
-0x75,0x01,0x00,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xy v[1:2], v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x14,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x1f,0x14,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_load_dword s5, s[2:3], s101    ; encoding: [0x41,0x01,0x00,0xc0,0x65,0x00,0x00,0x00]
-0x41,0x01,0x00,0xc0,0x65,0x00,0x00,0x00
+# CHECK: buffer_store_format_xy v[1:2], off, s[12:15], s4    ; encoding: [0x00,0x00,0x14,0xe0,0x00,0x01,0x03,0x04]
+0x00,0x00,0x14,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_load_dword s5, s[2:3], flat_scratch_lo    ; encoding: [0x41,0x01,0x00,0xc0,0x66,0x00,0x00,0x00]
-0x41,0x01,0x00,0xc0,0x66,0x00,0x00,0x00
+# CHECK: buffer_store_format_xy v[1:2], off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x14,0xe0,0x00,0x01,0x03,0x04]
+0x07,0x00,0x14,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_load_dword s5, s[2:3], flat_scratch_hi    ; encoding: [0x41,0x01,0x00,0xc0,0x67,0x00,0x00,0x00]
-0x41,0x01,0x00,0xc0,0x67,0x00,0x00,0x00
+# CHECK: buffer_store_format_xy v[1:2], off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x14,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x4f,0x14,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_load_dword s5, s[2:3], vcc_lo    ; encoding: [0x41,0x01,0x00,0xc0,0x6a,0x00,0x00,0x00]
-0x41,0x01,0x00,0xc0,0x6a,0x00,0x00,0x00
+# CHECK: buffer_store_format_xy v[1:2], off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x16,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x16,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_load_dword s5, s[2:3], vcc_hi    ; encoding: [0x41,0x01,0x00,0xc0,0x6b,0x00,0x00,0x00]
-0x41,0x01,0x00,0xc0,0x6b,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyz v[1:3], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_load_dword s5, s[2:3], m0    ; encoding: [0x41,0x01,0x00,0xc0,0x7c,0x00,0x00,0x00]
-0x41,0x01,0x00,0xc0,0x7c,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyz v[253:255], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe0,0x00,0xfd,0x03,0x04]
+0xff,0x0f,0x18,0xe0,0x00,0xfd,0x03,0x04
 
-# CHECK: s_load_dword s5, s[2:3], 0x0    ; encoding: [0x41,0x01,0x02,0xc0,0x00,0x00,0x00,0x00]
-0x41,0x01,0x02,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyz v[1:3], off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe0,0x00,0x01,0x04,0x04]
+0xff,0x0f,0x18,0xe0,0x00,0x01,0x04,0x04
 
-# CHECK: s_load_dword s5, s[2:3], s0 glc    ; encoding: [0x41,0x01,0x01,0xc0,0x00,0x00,0x00,0x00]
-0x41,0x01,0x01,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyz v[1:3], off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe0,0x00,0x01,0x18,0x04]
+0xff,0x0f,0x18,0xe0,0x00,0x01,0x18,0x04
 
-# CHECK: s_load_dwordx2 s[10:11], s[2:3], s0    ; encoding: [0x81,0x02,0x04,0xc0,0x00,0x00,0x00,0x00]
-0x81,0x02,0x04,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyz v[1:3], off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0x65]
+0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0x65
 
-# CHECK: s_load_dwordx2 s[12:13], s[2:3], s0    ; encoding: [0x01,0x03,0x04,0xc0,0x00,0x00,0x00,0x00]
-0x01,0x03,0x04,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyz v[1:3], off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0x7c]
+0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0x7c
 
-# CHECK: s_load_dwordx2 s[100:101], s[2:3], s0    ; encoding: [0x01,0x19,0x04,0xc0,0x00,0x00,0x00,0x00]
-0x01,0x19,0x04,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyz v[1:3], off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0x80]
+0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0x80
 
-# CHECK: s_load_dwordx2 flat_scratch, s[2:3], s0    ; encoding: [0x81,0x19,0x04,0xc0,0x00,0x00,0x00,0x00]
-0x81,0x19,0x04,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyz v[1:3], off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0xc1]
+0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0xc1
 
-# CHECK: s_load_dwordx2 vcc, s[2:3], s0    ; encoding: [0x81,0x1a,0x04,0xc0,0x00,0x00,0x00,0x00]
-0x81,0x1a,0x04,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyz v[1:3], off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0xf0]
+0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0xf0
 
-# CHECK: s_load_dwordx2 s[10:11], s[4:5], s0    ; encoding: [0x82,0x02,0x04,0xc0,0x00,0x00,0x00,0x00]
-0x82,0x02,0x04,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyz v[1:3], off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0xf7]
+0xff,0x0f,0x18,0xe0,0x00,0x01,0x03,0xf7
 
-# CHECK: s_load_dwordx2 s[10:11], s[100:101], s0    ; encoding: [0xb2,0x02,0x04,0xc0,0x00,0x00,0x00,0x00]
-0xb2,0x02,0x04,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyz v[1:3], v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x18,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x2f,0x18,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_load_dwordx2 s[10:11], flat_scratch, s0    ; encoding: [0xb3,0x02,0x04,0xc0,0x00,0x00,0x00,0x00]
-0xb3,0x02,0x04,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyz v[1:3], v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x18,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x1f,0x18,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_load_dwordx2 s[10:11], vcc, s0    ; encoding: [0xb5,0x02,0x04,0xc0,0x00,0x00,0x00,0x00]
-0xb5,0x02,0x04,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyz v[1:3], off, s[12:15], s4    ; encoding: [0x00,0x00,0x18,0xe0,0x00,0x01,0x03,0x04]
+0x00,0x00,0x18,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_load_dwordx2 s[10:11], s[2:3], s101    ; encoding: [0x81,0x02,0x04,0xc0,0x65,0x00,0x00,0x00]
-0x81,0x02,0x04,0xc0,0x65,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyz v[1:3], off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x18,0xe0,0x00,0x01,0x03,0x04]
+0x07,0x00,0x18,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_load_dwordx2 s[10:11], s[2:3], flat_scratch_lo    ; encoding: [0x81,0x02,0x04,0xc0,0x66,0x00,0x00,0x00]
-0x81,0x02,0x04,0xc0,0x66,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyz v[1:3], off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x18,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x4f,0x18,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_load_dwordx2 s[10:11], s[2:3], flat_scratch_hi    ; encoding: [0x81,0x02,0x04,0xc0,0x67,0x00,0x00,0x00]
-0x81,0x02,0x04,0xc0,0x67,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyz v[1:3], off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x1a,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x1a,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_load_dwordx2 s[10:11], s[2:3], vcc_lo    ; encoding: [0x81,0x02,0x04,0xc0,0x6a,0x00,0x00,0x00]
-0x81,0x02,0x04,0xc0,0x6a,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyzw v[1:4], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_load_dwordx2 s[10:11], s[2:3], vcc_hi    ; encoding: [0x81,0x02,0x04,0xc0,0x6b,0x00,0x00,0x00]
-0x81,0x02,0x04,0xc0,0x6b,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyzw v[252:255], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe0,0x00,0xfc,0x03,0x04]
+0xff,0x0f,0x1c,0xe0,0x00,0xfc,0x03,0x04
 
-# CHECK: s_load_dwordx2 s[10:11], s[2:3], m0    ; encoding: [0x81,0x02,0x04,0xc0,0x7c,0x00,0x00,0x00]
-0x81,0x02,0x04,0xc0,0x7c,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyzw v[1:4], off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe0,0x00,0x01,0x04,0x04]
+0xff,0x0f,0x1c,0xe0,0x00,0x01,0x04,0x04
 
-# CHECK: s_load_dwordx2 s[10:11], s[2:3], 0x0    ; encoding: [0x81,0x02,0x06,0xc0,0x00,0x00,0x00,0x00]
-0x81,0x02,0x06,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyzw v[1:4], off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe0,0x00,0x01,0x18,0x04]
+0xff,0x0f,0x1c,0xe0,0x00,0x01,0x18,0x04
 
-# CHECK: s_load_dwordx2 s[10:11], s[2:3], s0 glc    ; encoding: [0x81,0x02,0x05,0xc0,0x00,0x00,0x00,0x00]
-0x81,0x02,0x05,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyzw v[1:4], off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0x65]
+0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0x65
 
-# CHECK: s_load_dwordx4 s[20:23], s[2:3], s0    ; encoding: [0x01,0x05,0x08,0xc0,0x00,0x00,0x00,0x00]
-0x01,0x05,0x08,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyzw v[1:4], off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0x7c]
+0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0x7c
 
-# CHECK: s_load_dwordx4 s[24:27], s[2:3], s0    ; encoding: [0x01,0x06,0x08,0xc0,0x00,0x00,0x00,0x00]
-0x01,0x06,0x08,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyzw v[1:4], off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0x80]
+0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0x80
 
-# CHECK: s_load_dwordx4 s[96:99], s[2:3], s0    ; encoding: [0x01,0x18,0x08,0xc0,0x00,0x00,0x00,0x00]
-0x01,0x18,0x08,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyzw v[1:4], off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0xc1]
+0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0xc1
 
-# CHECK: s_load_dwordx4 s[20:23], s[4:5], s0    ; encoding: [0x02,0x05,0x08,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x05,0x08,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyzw v[1:4], off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0xf0]
+0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0xf0
 
-# CHECK: s_load_dwordx4 s[20:23], s[100:101], s0    ; encoding: [0x32,0x05,0x08,0xc0,0x00,0x00,0x00,0x00]
-0x32,0x05,0x08,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyzw v[1:4], off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0xf7]
+0xff,0x0f,0x1c,0xe0,0x00,0x01,0x03,0xf7
 
-# CHECK: s_load_dwordx4 s[20:23], flat_scratch, s0    ; encoding: [0x33,0x05,0x08,0xc0,0x00,0x00,0x00,0x00]
-0x33,0x05,0x08,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyzw v[1:4], v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x1c,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x2f,0x1c,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_load_dwordx4 s[20:23], vcc, s0    ; encoding: [0x35,0x05,0x08,0xc0,0x00,0x00,0x00,0x00]
-0x35,0x05,0x08,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyzw v[1:4], v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x1c,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x1f,0x1c,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_load_dwordx4 s[20:23], s[2:3], s101    ; encoding: [0x01,0x05,0x08,0xc0,0x65,0x00,0x00,0x00]
-0x01,0x05,0x08,0xc0,0x65,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyzw v[1:4], off, s[12:15], s4    ; encoding: [0x00,0x00,0x1c,0xe0,0x00,0x01,0x03,0x04]
+0x00,0x00,0x1c,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_load_dwordx4 s[20:23], s[2:3], flat_scratch_lo    ; encoding: [0x01,0x05,0x08,0xc0,0x66,0x00,0x00,0x00]
-0x01,0x05,0x08,0xc0,0x66,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyzw v[1:4], off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x1c,0xe0,0x00,0x01,0x03,0x04]
+0x07,0x00,0x1c,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_load_dwordx4 s[20:23], s[2:3], flat_scratch_hi    ; encoding: [0x01,0x05,0x08,0xc0,0x67,0x00,0x00,0x00]
-0x01,0x05,0x08,0xc0,0x67,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyzw v[1:4], off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x1c,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x4f,0x1c,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_load_dwordx4 s[20:23], s[2:3], vcc_lo    ; encoding: [0x01,0x05,0x08,0xc0,0x6a,0x00,0x00,0x00]
-0x01,0x05,0x08,0xc0,0x6a,0x00,0x00,0x00
+# CHECK: buffer_store_format_xyzw v[1:4], off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x1e,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x1e,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_load_dwordx4 s[20:23], s[2:3], vcc_hi    ; encoding: [0x01,0x05,0x08,0xc0,0x6b,0x00,0x00,0x00]
-0x01,0x05,0x08,0xc0,0x6b,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_x v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x20,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_load_dwordx4 s[20:23], s[2:3], m0    ; encoding: [0x01,0x05,0x08,0xc0,0x7c,0x00,0x00,0x00]
-0x01,0x05,0x08,0xc0,0x7c,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_x v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe0,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x20,0xe0,0x00,0xff,0x02,0x03
 
-# CHECK: s_load_dwordx4 s[20:23], s[2:3], 0x0    ; encoding: [0x01,0x05,0x0a,0xc0,0x00,0x00,0x00,0x00]
-0x01,0x05,0x0a,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_x v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe0,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x20,0xe0,0x00,0x05,0x03,0x03
 
-# CHECK: s_load_dwordx4 s[20:23], s[2:3], s0 glc    ; encoding: [0x01,0x05,0x09,0xc0,0x00,0x00,0x00,0x00]
-0x01,0x05,0x09,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_x v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe0,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x20,0xe0,0x00,0x05,0x18,0x03
 
-# CHECK: s_load_dwordx8 s[20:27], s[2:3], s0    ; encoding: [0x01,0x05,0x0c,0xc0,0x00,0x00,0x00,0x00]
-0x01,0x05,0x0c,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_x v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe0,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x20,0xe0,0x00,0x05,0x02,0x65
 
-# CHECK: s_load_dwordx8 s[24:31], s[2:3], s0    ; encoding: [0x01,0x06,0x0c,0xc0,0x00,0x00,0x00,0x00]
-0x01,0x06,0x0c,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_x v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe0,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x20,0xe0,0x00,0x05,0x02,0x7c
 
-# CHECK: s_load_dwordx8 s[92:99], s[2:3], s0    ; encoding: [0x01,0x17,0x0c,0xc0,0x00,0x00,0x00,0x00]
-0x01,0x17,0x0c,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_x v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe0,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x20,0xe0,0x00,0x05,0x02,0x80
 
-# CHECK: s_load_dwordx8 s[20:27], s[4:5], s0    ; encoding: [0x02,0x05,0x0c,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x05,0x0c,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_x v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe0,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x20,0xe0,0x00,0x05,0x02,0xc1
 
-# CHECK: s_load_dwordx8 s[20:27], s[100:101], s0    ; encoding: [0x32,0x05,0x0c,0xc0,0x00,0x00,0x00,0x00]
-0x32,0x05,0x0c,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_x v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe0,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x20,0xe0,0x00,0x05,0x02,0xf0
 
-# CHECK: s_load_dwordx8 s[20:27], flat_scratch, s0    ; encoding: [0x33,0x05,0x0c,0xc0,0x00,0x00,0x00,0x00]
-0x33,0x05,0x0c,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_x v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe0,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x20,0xe0,0x00,0x05,0x02,0xf7
 
-# CHECK: s_load_dwordx8 s[20:27], vcc, s0    ; encoding: [0x35,0x05,0x0c,0xc0,0x00,0x00,0x00,0x00]
-0x35,0x05,0x0c,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_x v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x20,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x20,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_load_dwordx8 s[20:27], s[2:3], s101    ; encoding: [0x01,0x05,0x0c,0xc0,0x65,0x00,0x00,0x00]
-0x01,0x05,0x0c,0xc0,0x65,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_x v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x20,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x20,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_load_dwordx8 s[20:27], s[2:3], flat_scratch_lo    ; encoding: [0x01,0x05,0x0c,0xc0,0x66,0x00,0x00,0x00]
-0x01,0x05,0x0c,0xc0,0x66,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_x v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x20,0xe0,0x00,0x05,0x02,0x03]
+0x00,0x00,0x20,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_load_dwordx8 s[20:27], s[2:3], flat_scratch_hi    ; encoding: [0x01,0x05,0x0c,0xc0,0x67,0x00,0x00,0x00]
-0x01,0x05,0x0c,0xc0,0x67,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_x v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x20,0xe0,0x00,0x05,0x02,0x03]
+0x07,0x00,0x20,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_load_dwordx8 s[20:27], s[2:3], vcc_lo    ; encoding: [0x01,0x05,0x0c,0xc0,0x6a,0x00,0x00,0x00]
-0x01,0x05,0x0c,0xc0,0x6a,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_x v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x20,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x20,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_load_dwordx8 s[20:27], s[2:3], vcc_hi    ; encoding: [0x01,0x05,0x0c,0xc0,0x6b,0x00,0x00,0x00]
-0x01,0x05,0x0c,0xc0,0x6b,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_x v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x22,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x22,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_load_dwordx8 s[20:27], s[2:3], m0    ; encoding: [0x01,0x05,0x0c,0xc0,0x7c,0x00,0x00,0x00]
-0x01,0x05,0x0c,0xc0,0x7c,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xy v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x24,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_load_dwordx8 s[20:27], s[2:3], 0x0    ; encoding: [0x01,0x05,0x0e,0xc0,0x00,0x00,0x00,0x00]
-0x01,0x05,0x0e,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xy v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe0,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x24,0xe0,0x00,0xff,0x02,0x03
 
-# CHECK: s_load_dwordx8 s[20:27], s[2:3], s0 glc    ; encoding: [0x01,0x05,0x0d,0xc0,0x00,0x00,0x00,0x00]
-0x01,0x05,0x0d,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xy v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe0,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x24,0xe0,0x00,0x05,0x03,0x03
 
-# CHECK: s_load_dwordx16 s[20:35], s[2:3], s0    ; encoding: [0x01,0x05,0x10,0xc0,0x00,0x00,0x00,0x00]
-0x01,0x05,0x10,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xy v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe0,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x24,0xe0,0x00,0x05,0x18,0x03
 
-# CHECK: s_load_dwordx16 s[24:39], s[2:3], s0    ; encoding: [0x01,0x06,0x10,0xc0,0x00,0x00,0x00,0x00]
-0x01,0x06,0x10,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xy v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe0,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x24,0xe0,0x00,0x05,0x02,0x65
 
-# CHECK: s_load_dwordx16 s[84:99], s[2:3], s0    ; encoding: [0x01,0x15,0x10,0xc0,0x00,0x00,0x00,0x00]
-0x01,0x15,0x10,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xy v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe0,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x24,0xe0,0x00,0x05,0x02,0x7c
 
-# CHECK: s_load_dwordx16 s[20:35], s[4:5], s0    ; encoding: [0x02,0x05,0x10,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x05,0x10,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xy v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe0,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x24,0xe0,0x00,0x05,0x02,0x80
 
-# CHECK: s_load_dwordx16 s[20:35], s[100:101], s0    ; encoding: [0x32,0x05,0x10,0xc0,0x00,0x00,0x00,0x00]
-0x32,0x05,0x10,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xy v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe0,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x24,0xe0,0x00,0x05,0x02,0xc1
 
-# CHECK: s_load_dwordx16 s[20:35], flat_scratch, s0    ; encoding: [0x33,0x05,0x10,0xc0,0x00,0x00,0x00,0x00]
-0x33,0x05,0x10,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xy v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe0,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x24,0xe0,0x00,0x05,0x02,0xf0
 
-# CHECK: s_load_dwordx16 s[20:35], vcc, s0    ; encoding: [0x35,0x05,0x10,0xc0,0x00,0x00,0x00,0x00]
-0x35,0x05,0x10,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xy v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe0,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x24,0xe0,0x00,0x05,0x02,0xf7
 
-# CHECK: s_load_dwordx16 s[20:35], s[2:3], s101    ; encoding: [0x01,0x05,0x10,0xc0,0x65,0x00,0x00,0x00]
-0x01,0x05,0x10,0xc0,0x65,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xy v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x24,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x24,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_load_dwordx16 s[20:35], s[2:3], flat_scratch_lo    ; encoding: [0x01,0x05,0x10,0xc0,0x66,0x00,0x00,0x00]
-0x01,0x05,0x10,0xc0,0x66,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xy v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x24,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x24,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_load_dwordx16 s[20:35], s[2:3], flat_scratch_hi    ; encoding: [0x01,0x05,0x10,0xc0,0x67,0x00,0x00,0x00]
-0x01,0x05,0x10,0xc0,0x67,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xy v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x24,0xe0,0x00,0x05,0x02,0x03]
+0x00,0x00,0x24,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_load_dwordx16 s[20:35], s[2:3], vcc_lo    ; encoding: [0x01,0x05,0x10,0xc0,0x6a,0x00,0x00,0x00]
-0x01,0x05,0x10,0xc0,0x6a,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xy v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x24,0xe0,0x00,0x05,0x02,0x03]
+0x07,0x00,0x24,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_load_dwordx16 s[20:35], s[2:3], vcc_hi    ; encoding: [0x01,0x05,0x10,0xc0,0x6b,0x00,0x00,0x00]
-0x01,0x05,0x10,0xc0,0x6b,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xy v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x24,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x24,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_load_dwordx16 s[20:35], s[2:3], m0    ; encoding: [0x01,0x05,0x10,0xc0,0x7c,0x00,0x00,0x00]
-0x01,0x05,0x10,0xc0,0x7c,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xy v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x26,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x26,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_load_dwordx16 s[20:35], s[2:3], 0x0    ; encoding: [0x01,0x05,0x12,0xc0,0x00,0x00,0x00,0x00]
-0x01,0x05,0x12,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyz v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x28,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_load_dwordx16 s[20:35], s[2:3], s0 glc    ; encoding: [0x01,0x05,0x11,0xc0,0x00,0x00,0x00,0x00]
-0x01,0x05,0x11,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyz v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe0,0x00,0xfe,0x02,0x03]
+0xff,0x0f,0x28,0xe0,0x00,0xfe,0x02,0x03
 
-# CHECK: s_buffer_load_dword s5, s[4:7], s0    ; encoding: [0x42,0x01,0x20,0xc0,0x00,0x00,0x00,0x00]
-0x42,0x01,0x20,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyz v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe0,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x28,0xe0,0x00,0x05,0x03,0x03
 
-# CHECK: s_buffer_load_dword s101, s[4:7], s0    ; encoding: [0x42,0x19,0x20,0xc0,0x00,0x00,0x00,0x00]
-0x42,0x19,0x20,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyz v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe0,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x28,0xe0,0x00,0x05,0x18,0x03
 
-# CHECK: s_buffer_load_dword flat_scratch_lo, s[4:7], s0    ; encoding: [0x82,0x19,0x20,0xc0,0x00,0x00,0x00,0x00]
-0x82,0x19,0x20,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyz v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe0,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x28,0xe0,0x00,0x05,0x02,0x65
 
-# CHECK: s_buffer_load_dword flat_scratch_hi, s[4:7], s0    ; encoding: [0xc2,0x19,0x20,0xc0,0x00,0x00,0x00,0x00]
-0xc2,0x19,0x20,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyz v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe0,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x28,0xe0,0x00,0x05,0x02,0x7c
 
-# CHECK: s_buffer_load_dword vcc_lo, s[4:7], s0    ; encoding: [0x82,0x1a,0x20,0xc0,0x00,0x00,0x00,0x00]
-0x82,0x1a,0x20,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyz v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe0,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x28,0xe0,0x00,0x05,0x02,0x80
 
-# CHECK: s_buffer_load_dword vcc_hi, s[4:7], s0    ; encoding: [0xc2,0x1a,0x20,0xc0,0x00,0x00,0x00,0x00]
-0xc2,0x1a,0x20,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyz v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe0,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x28,0xe0,0x00,0x05,0x02,0xc1
 
-# CHECK: s_buffer_load_dword s5, s[8:11], s0    ; encoding: [0x44,0x01,0x20,0xc0,0x00,0x00,0x00,0x00]
-0x44,0x01,0x20,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyz v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe0,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x28,0xe0,0x00,0x05,0x02,0xf0
 
-# CHECK: s_buffer_load_dword s5, s[96:99], s0    ; encoding: [0x70,0x01,0x20,0xc0,0x00,0x00,0x00,0x00]
-0x70,0x01,0x20,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyz v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe0,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x28,0xe0,0x00,0x05,0x02,0xf7
 
-# CHECK: s_buffer_load_dword s5, s[4:7], s101    ; encoding: [0x42,0x01,0x20,0xc0,0x65,0x00,0x00,0x00]
-0x42,0x01,0x20,0xc0,0x65,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyz v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x28,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x28,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_load_dword s5, s[4:7], flat_scratch_lo    ; encoding: [0x42,0x01,0x20,0xc0,0x66,0x00,0x00,0x00]
-0x42,0x01,0x20,0xc0,0x66,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyz v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x28,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x28,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_load_dword s5, s[4:7], flat_scratch_hi    ; encoding: [0x42,0x01,0x20,0xc0,0x67,0x00,0x00,0x00]
-0x42,0x01,0x20,0xc0,0x67,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyz v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0x28,0xe0,0x00,0x05,0x02,0x03]
+0x00,0x00,0x28,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_load_dword s5, s[4:7], vcc_lo    ; encoding: [0x42,0x01,0x20,0xc0,0x6a,0x00,0x00,0x00]
-0x42,0x01,0x20,0xc0,0x6a,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyz v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x28,0xe0,0x00,0x05,0x02,0x03]
+0x07,0x00,0x28,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_load_dword s5, s[4:7], vcc_hi    ; encoding: [0x42,0x01,0x20,0xc0,0x6b,0x00,0x00,0x00]
-0x42,0x01,0x20,0xc0,0x6b,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyz v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x28,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x28,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_load_dword s5, s[4:7], m0    ; encoding: [0x42,0x01,0x20,0xc0,0x7c,0x00,0x00,0x00]
-0x42,0x01,0x20,0xc0,0x7c,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyz v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x2a,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x2a,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_load_dword s5, s[4:7], 0x0    ; encoding: [0x42,0x01,0x22,0xc0,0x00,0x00,0x00,0x00]
-0x42,0x01,0x22,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyzw v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x2c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_load_dword s5, s[4:7], s0 glc    ; encoding: [0x42,0x01,0x21,0xc0,0x00,0x00,0x00,0x00]
-0x42,0x01,0x21,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyzw v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe0,0x00,0xfe,0x02,0x03]
+0xff,0x0f,0x2c,0xe0,0x00,0xfe,0x02,0x03
 
-# CHECK: s_buffer_load_dwordx2 s[10:11], s[4:7], s0    ; encoding: [0x82,0x02,0x24,0xc0,0x00,0x00,0x00,0x00]
-0x82,0x02,0x24,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyzw v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe0,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x2c,0xe0,0x00,0x05,0x03,0x03
 
-# CHECK: s_buffer_load_dwordx2 s[12:13], s[4:7], s0    ; encoding: [0x02,0x03,0x24,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x03,0x24,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyzw v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe0,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x2c,0xe0,0x00,0x05,0x18,0x03
 
-# CHECK: s_buffer_load_dwordx2 s[100:101], s[4:7], s0    ; encoding: [0x02,0x19,0x24,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x19,0x24,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyzw v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe0,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x2c,0xe0,0x00,0x05,0x02,0x65
 
-# CHECK: s_buffer_load_dwordx2 flat_scratch, s[4:7], s0    ; encoding: [0x82,0x19,0x24,0xc0,0x00,0x00,0x00,0x00]
-0x82,0x19,0x24,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyzw v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe0,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x2c,0xe0,0x00,0x05,0x02,0x7c
 
-# CHECK: s_buffer_load_dwordx2 vcc, s[4:7], s0    ; encoding: [0x82,0x1a,0x24,0xc0,0x00,0x00,0x00,0x00]
-0x82,0x1a,0x24,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyzw v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe0,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x2c,0xe0,0x00,0x05,0x02,0x80
 
-# CHECK: s_buffer_load_dwordx2 s[10:11], s[8:11], s0    ; encoding: [0x84,0x02,0x24,0xc0,0x00,0x00,0x00,0x00]
-0x84,0x02,0x24,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyzw v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe0,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x2c,0xe0,0x00,0x05,0x02,0xc1
 
-# CHECK: s_buffer_load_dwordx2 s[10:11], s[96:99], s0    ; encoding: [0xb0,0x02,0x24,0xc0,0x00,0x00,0x00,0x00]
-0xb0,0x02,0x24,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyzw v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe0,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x2c,0xe0,0x00,0x05,0x02,0xf0
 
-# CHECK: s_buffer_load_dwordx2 s[10:11], s[4:7], s101    ; encoding: [0x82,0x02,0x24,0xc0,0x65,0x00,0x00,0x00]
-0x82,0x02,0x24,0xc0,0x65,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyzw v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe0,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x2c,0xe0,0x00,0x05,0x02,0xf7
 
-# CHECK: s_buffer_load_dwordx2 s[10:11], s[4:7], flat_scratch_lo    ; encoding: [0x82,0x02,0x24,0xc0,0x66,0x00,0x00,0x00]
-0x82,0x02,0x24,0xc0,0x66,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyzw v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x2c,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x2c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_load_dwordx2 s[10:11], s[4:7], flat_scratch_hi    ; encoding: [0x82,0x02,0x24,0xc0,0x67,0x00,0x00,0x00]
-0x82,0x02,0x24,0xc0,0x67,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyzw v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x2c,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x2c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_load_dwordx2 s[10:11], s[4:7], vcc_lo    ; encoding: [0x82,0x02,0x24,0xc0,0x6a,0x00,0x00,0x00]
-0x82,0x02,0x24,0xc0,0x6a,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyzw v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0x2c,0xe0,0x00,0x05,0x02,0x03]
+0x00,0x00,0x2c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_load_dwordx2 s[10:11], s[4:7], vcc_hi    ; encoding: [0x82,0x02,0x24,0xc0,0x6b,0x00,0x00,0x00]
-0x82,0x02,0x24,0xc0,0x6b,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyzw v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x2c,0xe0,0x00,0x05,0x02,0x03]
+0x07,0x00,0x2c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_load_dwordx2 s[10:11], s[4:7], m0    ; encoding: [0x82,0x02,0x24,0xc0,0x7c,0x00,0x00,0x00]
-0x82,0x02,0x24,0xc0,0x7c,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyzw v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x2c,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x2c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_load_dwordx2 s[10:11], s[4:7], 0x0    ; encoding: [0x82,0x02,0x26,0xc0,0x00,0x00,0x00,0x00]
-0x82,0x02,0x26,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_format_d16_xyzw v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x2e,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x2e,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_load_dwordx2 s[10:11], s[4:7], s0 glc    ; encoding: [0x82,0x02,0x25,0xc0,0x00,0x00,0x00,0x00]
-0x82,0x02,0x25,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_x v1, off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x30,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_buffer_load_dwordx4 s[20:23], s[4:7], s0    ; encoding: [0x02,0x05,0x28,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x05,0x28,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_x v255, off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe0,0x00,0xff,0x03,0x04]
+0xff,0x0f,0x30,0xe0,0x00,0xff,0x03,0x04
 
-# CHECK: s_buffer_load_dwordx4 s[24:27], s[4:7], s0    ; encoding: [0x02,0x06,0x28,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x06,0x28,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_x v1, off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe0,0x00,0x01,0x04,0x04]
+0xff,0x0f,0x30,0xe0,0x00,0x01,0x04,0x04
 
-# CHECK: s_buffer_load_dwordx4 s[96:99], s[4:7], s0    ; encoding: [0x02,0x18,0x28,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x18,0x28,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_x v1, off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe0,0x00,0x01,0x18,0x04]
+0xff,0x0f,0x30,0xe0,0x00,0x01,0x18,0x04
 
-# CHECK: s_buffer_load_dwordx4 s[20:23], s[8:11], s0    ; encoding: [0x04,0x05,0x28,0xc0,0x00,0x00,0x00,0x00]
-0x04,0x05,0x28,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_x v1, off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe0,0x00,0x01,0x03,0x65]
+0xff,0x0f,0x30,0xe0,0x00,0x01,0x03,0x65
 
-# CHECK: s_buffer_load_dwordx4 s[20:23], s[96:99], s0    ; encoding: [0x30,0x05,0x28,0xc0,0x00,0x00,0x00,0x00]
-0x30,0x05,0x28,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_x v1, off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe0,0x00,0x01,0x03,0x7c]
+0xff,0x0f,0x30,0xe0,0x00,0x01,0x03,0x7c
 
-# CHECK: s_buffer_load_dwordx4 s[20:23], s[4:7], s101    ; encoding: [0x02,0x05,0x28,0xc0,0x65,0x00,0x00,0x00]
-0x02,0x05,0x28,0xc0,0x65,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_x v1, off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe0,0x00,0x01,0x03,0x80]
+0xff,0x0f,0x30,0xe0,0x00,0x01,0x03,0x80
 
-# CHECK: s_buffer_load_dwordx4 s[20:23], s[4:7], flat_scratch_lo    ; encoding: [0x02,0x05,0x28,0xc0,0x66,0x00,0x00,0x00]
-0x02,0x05,0x28,0xc0,0x66,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_x v1, off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe0,0x00,0x01,0x03,0xc1]
+0xff,0x0f,0x30,0xe0,0x00,0x01,0x03,0xc1
 
-# CHECK: s_buffer_load_dwordx4 s[20:23], s[4:7], flat_scratch_hi    ; encoding: [0x02,0x05,0x28,0xc0,0x67,0x00,0x00,0x00]
-0x02,0x05,0x28,0xc0,0x67,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_x v1, off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe0,0x00,0x01,0x03,0xf0]
+0xff,0x0f,0x30,0xe0,0x00,0x01,0x03,0xf0
 
-# CHECK: s_buffer_load_dwordx4 s[20:23], s[4:7], vcc_lo    ; encoding: [0x02,0x05,0x28,0xc0,0x6a,0x00,0x00,0x00]
-0x02,0x05,0x28,0xc0,0x6a,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_x v1, off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe0,0x00,0x01,0x03,0xf7]
+0xff,0x0f,0x30,0xe0,0x00,0x01,0x03,0xf7
 
-# CHECK: s_buffer_load_dwordx4 s[20:23], s[4:7], vcc_hi    ; encoding: [0x02,0x05,0x28,0xc0,0x6b,0x00,0x00,0x00]
-0x02,0x05,0x28,0xc0,0x6b,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_x v1, v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x30,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x2f,0x30,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_buffer_load_dwordx4 s[20:23], s[4:7], m0    ; encoding: [0x02,0x05,0x28,0xc0,0x7c,0x00,0x00,0x00]
-0x02,0x05,0x28,0xc0,0x7c,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_x v1, v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x30,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x1f,0x30,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_buffer_load_dwordx4 s[20:23], s[4:7], 0x0    ; encoding: [0x02,0x05,0x2a,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x05,0x2a,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_x v1, off, s[12:15], s4    ; encoding: [0x00,0x00,0x30,0xe0,0x00,0x01,0x03,0x04]
+0x00,0x00,0x30,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_buffer_load_dwordx4 s[20:23], s[4:7], s0 glc    ; encoding: [0x02,0x05,0x29,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x05,0x29,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_x v1, off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x30,0xe0,0x00,0x01,0x03,0x04]
+0x07,0x00,0x30,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_buffer_load_dwordx8 s[20:27], s[4:7], s0    ; encoding: [0x02,0x05,0x2c,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x05,0x2c,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_x v1, off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x30,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x4f,0x30,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_buffer_load_dwordx8 s[24:31], s[4:7], s0    ; encoding: [0x02,0x06,0x2c,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x06,0x2c,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_x v1, off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x32,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x32,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_buffer_load_dwordx8 s[92:99], s[4:7], s0    ; encoding: [0x02,0x17,0x2c,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x17,0x2c,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xy v1, off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x34,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x34,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_buffer_load_dwordx8 s[20:27], s[8:11], s0    ; encoding: [0x04,0x05,0x2c,0xc0,0x00,0x00,0x00,0x00]
-0x04,0x05,0x2c,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xy v255, off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x34,0xe0,0x00,0xff,0x03,0x04]
+0xff,0x0f,0x34,0xe0,0x00,0xff,0x03,0x04
 
-# CHECK: s_buffer_load_dwordx8 s[20:27], s[96:99], s0    ; encoding: [0x30,0x05,0x2c,0xc0,0x00,0x00,0x00,0x00]
-0x30,0x05,0x2c,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xy v1, off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x34,0xe0,0x00,0x01,0x04,0x04]
+0xff,0x0f,0x34,0xe0,0x00,0x01,0x04,0x04
 
-# CHECK: s_buffer_load_dwordx8 s[20:27], s[4:7], s101    ; encoding: [0x02,0x05,0x2c,0xc0,0x65,0x00,0x00,0x00]
-0x02,0x05,0x2c,0xc0,0x65,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xy v1, off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x34,0xe0,0x00,0x01,0x18,0x04]
+0xff,0x0f,0x34,0xe0,0x00,0x01,0x18,0x04
 
-# CHECK: s_buffer_load_dwordx8 s[20:27], s[4:7], flat_scratch_lo    ; encoding: [0x02,0x05,0x2c,0xc0,0x66,0x00,0x00,0x00]
-0x02,0x05,0x2c,0xc0,0x66,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xy v1, off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x34,0xe0,0x00,0x01,0x03,0x65]
+0xff,0x0f,0x34,0xe0,0x00,0x01,0x03,0x65
 
-# CHECK: s_buffer_load_dwordx8 s[20:27], s[4:7], flat_scratch_hi    ; encoding: [0x02,0x05,0x2c,0xc0,0x67,0x00,0x00,0x00]
-0x02,0x05,0x2c,0xc0,0x67,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xy v1, off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x34,0xe0,0x00,0x01,0x03,0x7c]
+0xff,0x0f,0x34,0xe0,0x00,0x01,0x03,0x7c
 
-# CHECK: s_buffer_load_dwordx8 s[20:27], s[4:7], vcc_lo    ; encoding: [0x02,0x05,0x2c,0xc0,0x6a,0x00,0x00,0x00]
-0x02,0x05,0x2c,0xc0,0x6a,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xy v1, off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x34,0xe0,0x00,0x01,0x03,0x80]
+0xff,0x0f,0x34,0xe0,0x00,0x01,0x03,0x80
 
-# CHECK: s_buffer_load_dwordx8 s[20:27], s[4:7], vcc_hi    ; encoding: [0x02,0x05,0x2c,0xc0,0x6b,0x00,0x00,0x00]
-0x02,0x05,0x2c,0xc0,0x6b,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xy v1, off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x34,0xe0,0x00,0x01,0x03,0xc1]
+0xff,0x0f,0x34,0xe0,0x00,0x01,0x03,0xc1
 
-# CHECK: s_buffer_load_dwordx8 s[20:27], s[4:7], m0    ; encoding: [0x02,0x05,0x2c,0xc0,0x7c,0x00,0x00,0x00]
-0x02,0x05,0x2c,0xc0,0x7c,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xy v1, off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x34,0xe0,0x00,0x01,0x03,0xf0]
+0xff,0x0f,0x34,0xe0,0x00,0x01,0x03,0xf0
 
-# CHECK: s_buffer_load_dwordx8 s[20:27], s[4:7], 0x0    ; encoding: [0x02,0x05,0x2e,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x05,0x2e,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xy v1, off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x34,0xe0,0x00,0x01,0x03,0xf7]
+0xff,0x0f,0x34,0xe0,0x00,0x01,0x03,0xf7
 
-# CHECK: s_buffer_load_dwordx8 s[20:27], s[4:7], s0 glc    ; encoding: [0x02,0x05,0x2d,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x05,0x2d,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xy v1, v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x34,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x2f,0x34,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_buffer_load_dwordx16 s[20:35], s[4:7], s0    ; encoding: [0x02,0x05,0x30,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x05,0x30,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xy v1, v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x34,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x1f,0x34,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_buffer_load_dwordx16 s[24:39], s[4:7], s0    ; encoding: [0x02,0x06,0x30,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x06,0x30,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xy v1, off, s[12:15], s4    ; encoding: [0x00,0x00,0x34,0xe0,0x00,0x01,0x03,0x04]
+0x00,0x00,0x34,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_buffer_load_dwordx16 s[84:99], s[4:7], s0    ; encoding: [0x02,0x15,0x30,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x15,0x30,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xy v1, off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x34,0xe0,0x00,0x01,0x03,0x04]
+0x07,0x00,0x34,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_buffer_load_dwordx16 s[20:35], s[8:11], s0    ; encoding: [0x04,0x05,0x30,0xc0,0x00,0x00,0x00,0x00]
-0x04,0x05,0x30,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xy v1, off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x34,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x4f,0x34,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_buffer_load_dwordx16 s[20:35], s[96:99], s0    ; encoding: [0x30,0x05,0x30,0xc0,0x00,0x00,0x00,0x00]
-0x30,0x05,0x30,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xy v1, off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x36,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x36,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_buffer_load_dwordx16 s[20:35], s[4:7], s101    ; encoding: [0x02,0x05,0x30,0xc0,0x65,0x00,0x00,0x00]
-0x02,0x05,0x30,0xc0,0x65,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyz v[1:2], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x38,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x38,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_buffer_load_dwordx16 s[20:35], s[4:7], flat_scratch_lo    ; encoding: [0x02,0x05,0x30,0xc0,0x66,0x00,0x00,0x00]
-0x02,0x05,0x30,0xc0,0x66,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyz v[254:255], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x38,0xe0,0x00,0xfe,0x03,0x04]
+0xff,0x0f,0x38,0xe0,0x00,0xfe,0x03,0x04
 
-# CHECK: s_buffer_load_dwordx16 s[20:35], s[4:7], flat_scratch_hi    ; encoding: [0x02,0x05,0x30,0xc0,0x67,0x00,0x00,0x00]
-0x02,0x05,0x30,0xc0,0x67,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyz v[1:2], off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x38,0xe0,0x00,0x01,0x04,0x04]
+0xff,0x0f,0x38,0xe0,0x00,0x01,0x04,0x04
 
-# CHECK: s_buffer_load_dwordx16 s[20:35], s[4:7], vcc_lo    ; encoding: [0x02,0x05,0x30,0xc0,0x6a,0x00,0x00,0x00]
-0x02,0x05,0x30,0xc0,0x6a,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyz v[1:2], off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x38,0xe0,0x00,0x01,0x18,0x04]
+0xff,0x0f,0x38,0xe0,0x00,0x01,0x18,0x04
 
-# CHECK: s_buffer_load_dwordx16 s[20:35], s[4:7], vcc_hi    ; encoding: [0x02,0x05,0x30,0xc0,0x6b,0x00,0x00,0x00]
-0x02,0x05,0x30,0xc0,0x6b,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyz v[1:2], off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x38,0xe0,0x00,0x01,0x03,0x65]
+0xff,0x0f,0x38,0xe0,0x00,0x01,0x03,0x65
 
-# CHECK: s_buffer_load_dwordx16 s[20:35], s[4:7], m0    ; encoding: [0x02,0x05,0x30,0xc0,0x7c,0x00,0x00,0x00]
-0x02,0x05,0x30,0xc0,0x7c,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyz v[1:2], off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x38,0xe0,0x00,0x01,0x03,0x7c]
+0xff,0x0f,0x38,0xe0,0x00,0x01,0x03,0x7c
 
-# CHECK: s_buffer_load_dwordx16 s[20:35], s[4:7], 0x0    ; encoding: [0x02,0x05,0x32,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x05,0x32,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyz v[1:2], off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x38,0xe0,0x00,0x01,0x03,0x80]
+0xff,0x0f,0x38,0xe0,0x00,0x01,0x03,0x80
 
-# CHECK: s_buffer_load_dwordx16 s[20:35], s[4:7], s0 glc    ; encoding: [0x02,0x05,0x31,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x05,0x31,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyz v[1:2], off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x38,0xe0,0x00,0x01,0x03,0xc1]
+0xff,0x0f,0x38,0xe0,0x00,0x01,0x03,0xc1
 
-# CHECK: s_store_dword s1, s[4:5], s0    ; encoding: [0x42,0x00,0x40,0xc0,0x00,0x00,0x00,0x00]
-0x42,0x00,0x40,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyz v[1:2], off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x38,0xe0,0x00,0x01,0x03,0xf0]
+0xff,0x0f,0x38,0xe0,0x00,0x01,0x03,0xf0
 
-# CHECK: s_store_dword s101, s[4:5], s0    ; encoding: [0x42,0x19,0x40,0xc0,0x00,0x00,0x00,0x00]
-0x42,0x19,0x40,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyz v[1:2], off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x38,0xe0,0x00,0x01,0x03,0xf7]
+0xff,0x0f,0x38,0xe0,0x00,0x01,0x03,0xf7
 
-# CHECK: s_store_dword flat_scratch_lo, s[4:5], s0    ; encoding: [0x82,0x19,0x40,0xc0,0x00,0x00,0x00,0x00]
-0x82,0x19,0x40,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyz v[1:2], v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x38,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x2f,0x38,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_store_dword flat_scratch_hi, s[4:5], s0    ; encoding: [0xc2,0x19,0x40,0xc0,0x00,0x00,0x00,0x00]
-0xc2,0x19,0x40,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyz v[1:2], v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x38,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x1f,0x38,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_store_dword vcc_lo, s[4:5], s0    ; encoding: [0x82,0x1a,0x40,0xc0,0x00,0x00,0x00,0x00]
-0x82,0x1a,0x40,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyz v[1:2], off, s[12:15], s4    ; encoding: [0x00,0x00,0x38,0xe0,0x00,0x01,0x03,0x04]
+0x00,0x00,0x38,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_store_dword vcc_hi, s[4:5], s0    ; encoding: [0xc2,0x1a,0x40,0xc0,0x00,0x00,0x00,0x00]
-0xc2,0x1a,0x40,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyz v[1:2], off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x38,0xe0,0x00,0x01,0x03,0x04]
+0x07,0x00,0x38,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_store_dword s1, s[6:7], s0    ; encoding: [0x43,0x00,0x40,0xc0,0x00,0x00,0x00,0x00]
-0x43,0x00,0x40,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyz v[1:2], off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x38,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x4f,0x38,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_store_dword s1, s[100:101], s0    ; encoding: [0x72,0x00,0x40,0xc0,0x00,0x00,0x00,0x00]
-0x72,0x00,0x40,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyz v[1:2], off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x3a,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x3a,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_store_dword s1, flat_scratch, s0    ; encoding: [0x73,0x00,0x40,0xc0,0x00,0x00,0x00,0x00]
-0x73,0x00,0x40,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyzw v[1:2], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x3c,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x3c,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_store_dword s1, vcc, s0    ; encoding: [0x75,0x00,0x40,0xc0,0x00,0x00,0x00,0x00]
-0x75,0x00,0x40,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyzw v[254:255], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x3c,0xe0,0x00,0xfe,0x03,0x04]
+0xff,0x0f,0x3c,0xe0,0x00,0xfe,0x03,0x04
 
-# CHECK: s_store_dword s1, s[4:5], s101    ; encoding: [0x42,0x00,0x40,0xc0,0x65,0x00,0x00,0x00]
-0x42,0x00,0x40,0xc0,0x65,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyzw v[1:2], off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x3c,0xe0,0x00,0x01,0x04,0x04]
+0xff,0x0f,0x3c,0xe0,0x00,0x01,0x04,0x04
 
-# CHECK: s_store_dword s1, s[4:5], flat_scratch_lo    ; encoding: [0x42,0x00,0x40,0xc0,0x66,0x00,0x00,0x00]
-0x42,0x00,0x40,0xc0,0x66,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyzw v[1:2], off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x3c,0xe0,0x00,0x01,0x18,0x04]
+0xff,0x0f,0x3c,0xe0,0x00,0x01,0x18,0x04
 
-# CHECK: s_store_dword s1, s[4:5], flat_scratch_hi    ; encoding: [0x42,0x00,0x40,0xc0,0x67,0x00,0x00,0x00]
-0x42,0x00,0x40,0xc0,0x67,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyzw v[1:2], off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x3c,0xe0,0x00,0x01,0x03,0x65]
+0xff,0x0f,0x3c,0xe0,0x00,0x01,0x03,0x65
 
-# CHECK: s_store_dword s1, s[4:5], vcc_lo    ; encoding: [0x42,0x00,0x40,0xc0,0x6a,0x00,0x00,0x00]
-0x42,0x00,0x40,0xc0,0x6a,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyzw v[1:2], off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x3c,0xe0,0x00,0x01,0x03,0x7c]
+0xff,0x0f,0x3c,0xe0,0x00,0x01,0x03,0x7c
 
-# CHECK: s_store_dword s1, s[4:5], vcc_hi    ; encoding: [0x42,0x00,0x40,0xc0,0x6b,0x00,0x00,0x00]
-0x42,0x00,0x40,0xc0,0x6b,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyzw v[1:2], off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x3c,0xe0,0x00,0x01,0x03,0x80]
+0xff,0x0f,0x3c,0xe0,0x00,0x01,0x03,0x80
 
-# CHECK: s_store_dword s1, s[4:5], m0    ; encoding: [0x42,0x00,0x40,0xc0,0x7c,0x00,0x00,0x00]
-0x42,0x00,0x40,0xc0,0x7c,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyzw v[1:2], off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x3c,0xe0,0x00,0x01,0x03,0xc1]
+0xff,0x0f,0x3c,0xe0,0x00,0x01,0x03,0xc1
 
-# CHECK: s_store_dword s1, s[4:5], 0x0    ; encoding: [0x42,0x00,0x42,0xc0,0x00,0x00,0x00,0x00]
-0x42,0x00,0x42,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyzw v[1:2], off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x3c,0xe0,0x00,0x01,0x03,0xf0]
+0xff,0x0f,0x3c,0xe0,0x00,0x01,0x03,0xf0
 
-# CHECK: s_store_dword s1, s[4:5], s0 glc    ; encoding: [0x42,0x00,0x41,0xc0,0x00,0x00,0x00,0x00]
-0x42,0x00,0x41,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyzw v[1:2], off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x3c,0xe0,0x00,0x01,0x03,0xf7]
+0xff,0x0f,0x3c,0xe0,0x00,0x01,0x03,0xf7
 
-# CHECK: s_store_dwordx2 s[2:3], s[4:5], s0    ; encoding: [0x82,0x00,0x44,0xc0,0x00,0x00,0x00,0x00]
-0x82,0x00,0x44,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyzw v[1:2], v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x3c,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x2f,0x3c,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_store_dwordx2 s[4:5], s[4:5], s0    ; encoding: [0x02,0x01,0x44,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x01,0x44,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyzw v[1:2], v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x3c,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x1f,0x3c,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_store_dwordx2 s[100:101], s[4:5], s0    ; encoding: [0x02,0x19,0x44,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x19,0x44,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyzw v[1:2], off, s[12:15], s4    ; encoding: [0x00,0x00,0x3c,0xe0,0x00,0x01,0x03,0x04]
+0x00,0x00,0x3c,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_store_dwordx2 flat_scratch, s[4:5], s0    ; encoding: [0x82,0x19,0x44,0xc0,0x00,0x00,0x00,0x00]
-0x82,0x19,0x44,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyzw v[1:2], off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x3c,0xe0,0x00,0x01,0x03,0x04]
+0x07,0x00,0x3c,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_store_dwordx2 vcc, s[4:5], s0    ; encoding: [0x82,0x1a,0x44,0xc0,0x00,0x00,0x00,0x00]
-0x82,0x1a,0x44,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyzw v[1:2], off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x3c,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x4f,0x3c,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_store_dwordx2 s[2:3], s[6:7], s0    ; encoding: [0x83,0x00,0x44,0xc0,0x00,0x00,0x00,0x00]
-0x83,0x00,0x44,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_store_format_d16_xyzw v[1:2], off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x3e,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x3e,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_store_dwordx2 s[2:3], s[100:101], s0    ; encoding: [0xb2,0x00,0x44,0xc0,0x00,0x00,0x00,0x00]
-0xb2,0x00,0x44,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_ubyte v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_store_dwordx2 s[2:3], flat_scratch, s0    ; encoding: [0xb3,0x00,0x44,0xc0,0x00,0x00,0x00,0x00]
-0xb3,0x00,0x44,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_ubyte v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x40,0xe0,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x40,0xe0,0x00,0xff,0x02,0x03
 
-# CHECK: s_store_dwordx2 s[2:3], vcc, s0    ; encoding: [0xb5,0x00,0x44,0xc0,0x00,0x00,0x00,0x00]
-0xb5,0x00,0x44,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_ubyte v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x40,0xe0,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x40,0xe0,0x00,0x05,0x03,0x03
 
-# CHECK: s_store_dwordx2 s[2:3], s[4:5], s101    ; encoding: [0x82,0x00,0x44,0xc0,0x65,0x00,0x00,0x00]
-0x82,0x00,0x44,0xc0,0x65,0x00,0x00,0x00
+# CHECK: buffer_load_ubyte v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x40,0xe0,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x40,0xe0,0x00,0x05,0x18,0x03
 
-# CHECK: s_store_dwordx2 s[2:3], s[4:5], flat_scratch_lo    ; encoding: [0x82,0x00,0x44,0xc0,0x66,0x00,0x00,0x00]
-0x82,0x00,0x44,0xc0,0x66,0x00,0x00,0x00
+# CHECK: buffer_load_ubyte v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0x65
 
-# CHECK: s_store_dwordx2 s[2:3], s[4:5], flat_scratch_hi    ; encoding: [0x82,0x00,0x44,0xc0,0x67,0x00,0x00,0x00]
-0x82,0x00,0x44,0xc0,0x67,0x00,0x00,0x00
+# CHECK: buffer_load_ubyte v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0x7c
 
-# CHECK: s_store_dwordx2 s[2:3], s[4:5], vcc_lo    ; encoding: [0x82,0x00,0x44,0xc0,0x6a,0x00,0x00,0x00]
-0x82,0x00,0x44,0xc0,0x6a,0x00,0x00,0x00
+# CHECK: buffer_load_ubyte v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0x80
 
-# CHECK: s_store_dwordx2 s[2:3], s[4:5], vcc_hi    ; encoding: [0x82,0x00,0x44,0xc0,0x6b,0x00,0x00,0x00]
-0x82,0x00,0x44,0xc0,0x6b,0x00,0x00,0x00
+# CHECK: buffer_load_ubyte v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0xc1
 
-# CHECK: s_store_dwordx2 s[2:3], s[4:5], m0    ; encoding: [0x82,0x00,0x44,0xc0,0x7c,0x00,0x00,0x00]
-0x82,0x00,0x44,0xc0,0x7c,0x00,0x00,0x00
+# CHECK: buffer_load_ubyte v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0xf0
 
-# CHECK: s_store_dwordx2 s[2:3], s[4:5], 0x0    ; encoding: [0x82,0x00,0x46,0xc0,0x00,0x00,0x00,0x00]
-0x82,0x00,0x46,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_ubyte v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x40,0xe0,0x00,0x05,0x02,0xf7
 
-# CHECK: s_store_dwordx2 s[2:3], s[4:5], s0 glc    ; encoding: [0x82,0x00,0x45,0xc0,0x00,0x00,0x00,0x00]
-0x82,0x00,0x45,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_ubyte v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x40,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x40,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_store_dwordx4 s[4:7], s[4:5], s0    ; encoding: [0x02,0x01,0x48,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x01,0x48,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_ubyte v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x40,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x40,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_store_dwordx4 s[8:11], s[4:5], s0    ; encoding: [0x02,0x02,0x48,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x02,0x48,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_ubyte v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x40,0xe0,0x00,0x05,0x02,0x03]
+0x00,0x00,0x40,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_store_dwordx4 s[96:99], s[4:5], s0    ; encoding: [0x02,0x18,0x48,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x18,0x48,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_ubyte v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x40,0xe0,0x00,0x05,0x02,0x03]
+0x07,0x00,0x40,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_store_dwordx4 s[4:7], s[6:7], s0    ; encoding: [0x03,0x01,0x48,0xc0,0x00,0x00,0x00,0x00]
-0x03,0x01,0x48,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_ubyte v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x40,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x40,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_store_dwordx4 s[4:7], s[100:101], s0    ; encoding: [0x32,0x01,0x48,0xc0,0x00,0x00,0x00,0x00]
-0x32,0x01,0x48,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_ubyte v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x42,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x42,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_store_dwordx4 s[4:7], flat_scratch, s0    ; encoding: [0x33,0x01,0x48,0xc0,0x00,0x00,0x00,0x00]
-0x33,0x01,0x48,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_ubyte v5, off, s[8:11], s3 offset:4095 lds    ; encoding: [0xff,0x0f,0x41,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x41,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_store_dwordx4 s[4:7], vcc, s0    ; encoding: [0x35,0x01,0x48,0xc0,0x00,0x00,0x00,0x00]
-0x35,0x01,0x48,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_sbyte v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_store_dwordx4 s[4:7], s[4:5], s101    ; encoding: [0x02,0x01,0x48,0xc0,0x65,0x00,0x00,0x00]
-0x02,0x01,0x48,0xc0,0x65,0x00,0x00,0x00
+# CHECK: buffer_load_sbyte v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x44,0xe0,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x44,0xe0,0x00,0xff,0x02,0x03
 
-# CHECK: s_store_dwordx4 s[4:7], s[4:5], flat_scratch_lo    ; encoding: [0x02,0x01,0x48,0xc0,0x66,0x00,0x00,0x00]
-0x02,0x01,0x48,0xc0,0x66,0x00,0x00,0x00
+# CHECK: buffer_load_sbyte v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x44,0xe0,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x44,0xe0,0x00,0x05,0x03,0x03
 
-# CHECK: s_store_dwordx4 s[4:7], s[4:5], flat_scratch_hi    ; encoding: [0x02,0x01,0x48,0xc0,0x67,0x00,0x00,0x00]
-0x02,0x01,0x48,0xc0,0x67,0x00,0x00,0x00
+# CHECK: buffer_load_sbyte v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x44,0xe0,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x44,0xe0,0x00,0x05,0x18,0x03
 
-# CHECK: s_store_dwordx4 s[4:7], s[4:5], vcc_lo    ; encoding: [0x02,0x01,0x48,0xc0,0x6a,0x00,0x00,0x00]
-0x02,0x01,0x48,0xc0,0x6a,0x00,0x00,0x00
+# CHECK: buffer_load_sbyte v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0x65
 
-# CHECK: s_store_dwordx4 s[4:7], s[4:5], vcc_hi    ; encoding: [0x02,0x01,0x48,0xc0,0x6b,0x00,0x00,0x00]
-0x02,0x01,0x48,0xc0,0x6b,0x00,0x00,0x00
+# CHECK: buffer_load_sbyte v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0x7c
 
-# CHECK: s_store_dwordx4 s[4:7], s[4:5], m0    ; encoding: [0x02,0x01,0x48,0xc0,0x7c,0x00,0x00,0x00]
-0x02,0x01,0x48,0xc0,0x7c,0x00,0x00,0x00
+# CHECK: buffer_load_sbyte v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0x80
 
-# CHECK: s_store_dwordx4 s[4:7], s[4:5], 0x0    ; encoding: [0x02,0x01,0x4a,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x01,0x4a,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_sbyte v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0xc1
 
-# CHECK: s_store_dwordx4 s[4:7], s[4:5], s0 glc    ; encoding: [0x02,0x01,0x49,0xc0,0x00,0x00,0x00,0x00]
-0x02,0x01,0x49,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_sbyte v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0xf0
 
-# CHECK: s_buffer_store_dword s1, s[8:11], s0    ; encoding: [0x44,0x00,0x60,0xc0,0x00,0x00,0x00,0x00]
-0x44,0x00,0x60,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_sbyte v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x44,0xe0,0x00,0x05,0x02,0xf7
 
-# CHECK: s_buffer_store_dword s101, s[8:11], s0    ; encoding: [0x44,0x19,0x60,0xc0,0x00,0x00,0x00,0x00]
-0x44,0x19,0x60,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_sbyte v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x44,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x44,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_store_dword flat_scratch_lo, s[8:11], s0    ; encoding: [0x84,0x19,0x60,0xc0,0x00,0x00,0x00,0x00]
-0x84,0x19,0x60,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_sbyte v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x44,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x44,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_store_dword flat_scratch_hi, s[8:11], s0    ; encoding: [0xc4,0x19,0x60,0xc0,0x00,0x00,0x00,0x00]
-0xc4,0x19,0x60,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_sbyte v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x44,0xe0,0x00,0x05,0x02,0x03]
+0x00,0x00,0x44,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_store_dword vcc_lo, s[8:11], s0    ; encoding: [0x84,0x1a,0x60,0xc0,0x00,0x00,0x00,0x00]
-0x84,0x1a,0x60,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_sbyte v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x44,0xe0,0x00,0x05,0x02,0x03]
+0x07,0x00,0x44,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_store_dword vcc_hi, s[8:11], s0    ; encoding: [0xc4,0x1a,0x60,0xc0,0x00,0x00,0x00,0x00]
-0xc4,0x1a,0x60,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_sbyte v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x44,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x44,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_store_dword s1, s[12:15], s0    ; encoding: [0x46,0x00,0x60,0xc0,0x00,0x00,0x00,0x00]
-0x46,0x00,0x60,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_sbyte v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x46,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x46,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_store_dword s1, s[96:99], s0    ; encoding: [0x70,0x00,0x60,0xc0,0x00,0x00,0x00,0x00]
-0x70,0x00,0x60,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_sbyte v5, off, s[8:11], s3 offset:4095 lds    ; encoding: [0xff,0x0f,0x45,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x45,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_store_dword s1, s[8:11], s101    ; encoding: [0x44,0x00,0x60,0xc0,0x65,0x00,0x00,0x00]
-0x44,0x00,0x60,0xc0,0x65,0x00,0x00,0x00
+# CHECK: buffer_load_ushort v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_store_dword s1, s[8:11], flat_scratch_lo    ; encoding: [0x44,0x00,0x60,0xc0,0x66,0x00,0x00,0x00]
-0x44,0x00,0x60,0xc0,0x66,0x00,0x00,0x00
+# CHECK: buffer_load_ushort v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x48,0xe0,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x48,0xe0,0x00,0xff,0x02,0x03
 
-# CHECK: s_buffer_store_dword s1, s[8:11], flat_scratch_hi    ; encoding: [0x44,0x00,0x60,0xc0,0x67,0x00,0x00,0x00]
-0x44,0x00,0x60,0xc0,0x67,0x00,0x00,0x00
+# CHECK: buffer_load_ushort v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x48,0xe0,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x48,0xe0,0x00,0x05,0x03,0x03
 
-# CHECK: s_buffer_store_dword s1, s[8:11], vcc_lo    ; encoding: [0x44,0x00,0x60,0xc0,0x6a,0x00,0x00,0x00]
-0x44,0x00,0x60,0xc0,0x6a,0x00,0x00,0x00
+# CHECK: buffer_load_ushort v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x48,0xe0,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x48,0xe0,0x00,0x05,0x18,0x03
 
-# CHECK: s_buffer_store_dword s1, s[8:11], vcc_hi    ; encoding: [0x44,0x00,0x60,0xc0,0x6b,0x00,0x00,0x00]
-0x44,0x00,0x60,0xc0,0x6b,0x00,0x00,0x00
+# CHECK: buffer_load_ushort v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0x65
 
-# CHECK: s_buffer_store_dword s1, s[8:11], m0    ; encoding: [0x44,0x00,0x60,0xc0,0x7c,0x00,0x00,0x00]
-0x44,0x00,0x60,0xc0,0x7c,0x00,0x00,0x00
+# CHECK: buffer_load_ushort v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0x7c
 
-# CHECK: s_buffer_store_dword s1, s[8:11], 0x0    ; encoding: [0x44,0x00,0x62,0xc0,0x00,0x00,0x00,0x00]
-0x44,0x00,0x62,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_ushort v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0x80
 
-# CHECK: s_buffer_store_dword s1, s[8:11], s0 glc    ; encoding: [0x44,0x00,0x61,0xc0,0x00,0x00,0x00,0x00]
-0x44,0x00,0x61,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_ushort v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0xc1
 
-# CHECK: s_buffer_store_dwordx2 s[2:3], s[8:11], s0    ; encoding: [0x84,0x00,0x64,0xc0,0x00,0x00,0x00,0x00]
-0x84,0x00,0x64,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_ushort v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0xf0
 
-# CHECK: s_buffer_store_dwordx2 s[4:5], s[8:11], s0    ; encoding: [0x04,0x01,0x64,0xc0,0x00,0x00,0x00,0x00]
-0x04,0x01,0x64,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_ushort v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x48,0xe0,0x00,0x05,0x02,0xf7
 
-# CHECK: s_buffer_store_dwordx2 s[100:101], s[8:11], s0    ; encoding: [0x04,0x19,0x64,0xc0,0x00,0x00,0x00,0x00]
-0x04,0x19,0x64,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_ushort v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x48,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x48,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_store_dwordx2 flat_scratch, s[8:11], s0    ; encoding: [0x84,0x19,0x64,0xc0,0x00,0x00,0x00,0x00]
-0x84,0x19,0x64,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_ushort v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x48,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x48,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_store_dwordx2 vcc, s[8:11], s0    ; encoding: [0x84,0x1a,0x64,0xc0,0x00,0x00,0x00,0x00]
-0x84,0x1a,0x64,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_ushort v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x48,0xe0,0x00,0x05,0x02,0x03]
+0x00,0x00,0x48,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_store_dwordx2 s[2:3], s[12:15], s0    ; encoding: [0x86,0x00,0x64,0xc0,0x00,0x00,0x00,0x00]
-0x86,0x00,0x64,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_ushort v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x48,0xe0,0x00,0x05,0x02,0x03]
+0x07,0x00,0x48,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_store_dwordx2 s[2:3], s[96:99], s0    ; encoding: [0xb0,0x00,0x64,0xc0,0x00,0x00,0x00,0x00]
-0xb0,0x00,0x64,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_ushort v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x48,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x48,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_store_dwordx2 s[2:3], s[8:11], s101    ; encoding: [0x84,0x00,0x64,0xc0,0x65,0x00,0x00,0x00]
-0x84,0x00,0x64,0xc0,0x65,0x00,0x00,0x00
+# CHECK: buffer_load_ushort v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x4a,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x4a,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_store_dwordx2 s[2:3], s[8:11], flat_scratch_lo    ; encoding: [0x84,0x00,0x64,0xc0,0x66,0x00,0x00,0x00]
-0x84,0x00,0x64,0xc0,0x66,0x00,0x00,0x00
+# CHECK: buffer_load_ushort v5, off, s[8:11], s3 offset:4095 lds    ; encoding: [0xff,0x0f,0x49,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x49,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_store_dwordx2 s[2:3], s[8:11], flat_scratch_hi    ; encoding: [0x84,0x00,0x64,0xc0,0x67,0x00,0x00,0x00]
-0x84,0x00,0x64,0xc0,0x67,0x00,0x00,0x00
+# CHECK: buffer_load_sshort v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_store_dwordx2 s[2:3], s[8:11], vcc_lo    ; encoding: [0x84,0x00,0x64,0xc0,0x6a,0x00,0x00,0x00]
-0x84,0x00,0x64,0xc0,0x6a,0x00,0x00,0x00
+# CHECK: buffer_load_sshort v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x4c,0xe0,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x4c,0xe0,0x00,0xff,0x02,0x03
 
-# CHECK: s_buffer_store_dwordx2 s[2:3], s[8:11], vcc_hi    ; encoding: [0x84,0x00,0x64,0xc0,0x6b,0x00,0x00,0x00]
-0x84,0x00,0x64,0xc0,0x6b,0x00,0x00,0x00
+# CHECK: buffer_load_sshort v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x4c,0xe0,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x4c,0xe0,0x00,0x05,0x03,0x03
 
-# CHECK: s_buffer_store_dwordx2 s[2:3], s[8:11], m0    ; encoding: [0x84,0x00,0x64,0xc0,0x7c,0x00,0x00,0x00]
-0x84,0x00,0x64,0xc0,0x7c,0x00,0x00,0x00
+# CHECK: buffer_load_sshort v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x4c,0xe0,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x4c,0xe0,0x00,0x05,0x18,0x03
 
-# CHECK: s_buffer_store_dwordx2 s[2:3], s[8:11], 0x0    ; encoding: [0x84,0x00,0x66,0xc0,0x00,0x00,0x00,0x00]
-0x84,0x00,0x66,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_sshort v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0x65
 
-# CHECK: s_buffer_store_dwordx2 s[2:3], s[8:11], s0 glc    ; encoding: [0x84,0x00,0x65,0xc0,0x00,0x00,0x00,0x00]
-0x84,0x00,0x65,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_sshort v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0x7c
 
-# CHECK: s_buffer_store_dwordx4 s[4:7], s[8:11], s0    ; encoding: [0x04,0x01,0x68,0xc0,0x00,0x00,0x00,0x00]
-0x04,0x01,0x68,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_sshort v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0x80
 
-# CHECK: s_buffer_store_dwordx4 s[8:11], s[8:11], s0    ; encoding: [0x04,0x02,0x68,0xc0,0x00,0x00,0x00,0x00]
-0x04,0x02,0x68,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_sshort v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0xc1
 
-# CHECK: s_buffer_store_dwordx4 s[96:99], s[8:11], s0    ; encoding: [0x04,0x18,0x68,0xc0,0x00,0x00,0x00,0x00]
-0x04,0x18,0x68,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_sshort v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0xf0
 
-# CHECK: s_buffer_store_dwordx4 s[4:7], s[12:15], s0    ; encoding: [0x06,0x01,0x68,0xc0,0x00,0x00,0x00,0x00]
-0x06,0x01,0x68,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_sshort v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x4c,0xe0,0x00,0x05,0x02,0xf7
 
-# CHECK: s_buffer_store_dwordx4 s[4:7], s[96:99], s0    ; encoding: [0x30,0x01,0x68,0xc0,0x00,0x00,0x00,0x00]
-0x30,0x01,0x68,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_sshort v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x4c,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x4c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_store_dwordx4 s[4:7], s[8:11], s101    ; encoding: [0x04,0x01,0x68,0xc0,0x65,0x00,0x00,0x00]
-0x04,0x01,0x68,0xc0,0x65,0x00,0x00,0x00
+# CHECK: buffer_load_sshort v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x4c,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x4c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_store_dwordx4 s[4:7], s[8:11], flat_scratch_lo    ; encoding: [0x04,0x01,0x68,0xc0,0x66,0x00,0x00,0x00]
-0x04,0x01,0x68,0xc0,0x66,0x00,0x00,0x00
+# CHECK: buffer_load_sshort v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x4c,0xe0,0x00,0x05,0x02,0x03]
+0x00,0x00,0x4c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_store_dwordx4 s[4:7], s[8:11], flat_scratch_hi    ; encoding: [0x04,0x01,0x68,0xc0,0x67,0x00,0x00,0x00]
-0x04,0x01,0x68,0xc0,0x67,0x00,0x00,0x00
+# CHECK: buffer_load_sshort v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x4c,0xe0,0x00,0x05,0x02,0x03]
+0x07,0x00,0x4c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_store_dwordx4 s[4:7], s[8:11], vcc_lo    ; encoding: [0x04,0x01,0x68,0xc0,0x6a,0x00,0x00,0x00]
-0x04,0x01,0x68,0xc0,0x6a,0x00,0x00,0x00
+# CHECK: buffer_load_sshort v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x4c,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x4c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_store_dwordx4 s[4:7], s[8:11], vcc_hi    ; encoding: [0x04,0x01,0x68,0xc0,0x6b,0x00,0x00,0x00]
-0x04,0x01,0x68,0xc0,0x6b,0x00,0x00,0x00
+# CHECK: buffer_load_sshort v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x4e,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x4e,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_store_dwordx4 s[4:7], s[8:11], m0    ; encoding: [0x04,0x01,0x68,0xc0,0x7c,0x00,0x00,0x00]
-0x04,0x01,0x68,0xc0,0x7c,0x00,0x00,0x00
+# CHECK: buffer_load_sshort v5, off, s[8:11], s3 offset:4095 lds    ; encoding: [0xff,0x0f,0x4d,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x4d,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_store_dwordx4 s[4:7], s[8:11], 0x0    ; encoding: [0x04,0x01,0x6a,0xc0,0x00,0x00,0x00,0x00]
-0x04,0x01,0x6a,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_dword v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_buffer_store_dwordx4 s[4:7], s[8:11], s0 glc    ; encoding: [0x04,0x01,0x69,0xc0,0x00,0x00,0x00,0x00]
-0x04,0x01,0x69,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_dword v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x50,0xe0,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x50,0xe0,0x00,0xff,0x02,0x03
 
-# CHECK: s_dcache_inv    ; encoding: [0x00,0x00,0x80,0xc0,0x00,0x00,0x00,0x00]
-0x00,0x00,0x80,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_dword v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x50,0xe0,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x50,0xe0,0x00,0x05,0x03,0x03
 
-# CHECK: s_dcache_wb    ; encoding: [0x00,0x00,0x84,0xc0,0x00,0x00,0x00,0x00]
-0x00,0x00,0x84,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_dword v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x50,0xe0,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x50,0xe0,0x00,0x05,0x18,0x03
 
-# CHECK: s_dcache_inv_vol    ; encoding: [0x00,0x00,0x88,0xc0,0x00,0x00,0x00,0x00]
-0x00,0x00,0x88,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_dword v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0x65
 
-# CHECK: s_dcache_wb_vol    ; encoding: [0x00,0x00,0x8c,0xc0,0x00,0x00,0x00,0x00]
-0x00,0x00,0x8c,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_dword v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0x7c
 
-# CHECK: s_memtime s[10:11]    ; encoding: [0x80,0x02,0x90,0xc0,0x00,0x00,0x00,0x00]
-0x80,0x02,0x90,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_dword v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0x80
 
-# CHECK: s_memtime s[12:13]    ; encoding: [0x00,0x03,0x90,0xc0,0x00,0x00,0x00,0x00]
-0x00,0x03,0x90,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_dword v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0xc1
 
-# CHECK: s_memtime s[100:101]    ; encoding: [0x00,0x19,0x90,0xc0,0x00,0x00,0x00,0x00]
-0x00,0x19,0x90,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_dword v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0xf0
 
-# CHECK: s_memtime flat_scratch    ; encoding: [0x80,0x19,0x90,0xc0,0x00,0x00,0x00,0x00]
-0x80,0x19,0x90,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_dword v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x50,0xe0,0x00,0x05,0x02,0xf7
 
-# CHECK: s_memtime vcc    ; encoding: [0x80,0x1a,0x90,0xc0,0x00,0x00,0x00,0x00]
-0x80,0x1a,0x90,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_dword v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x50,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x50,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_memrealtime s[10:11]    ; encoding: [0x80,0x02,0x94,0xc0,0x00,0x00,0x00,0x00]
-0x80,0x02,0x94,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_dword v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x50,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x50,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_memrealtime s[12:13]    ; encoding: [0x00,0x03,0x94,0xc0,0x00,0x00,0x00,0x00]
-0x00,0x03,0x94,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_dword v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x50,0xe0,0x00,0x05,0x02,0x03]
+0x00,0x00,0x50,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_memrealtime s[100:101]    ; encoding: [0x00,0x19,0x94,0xc0,0x00,0x00,0x00,0x00]
-0x00,0x19,0x94,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_dword v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x50,0xe0,0x00,0x05,0x02,0x03]
+0x07,0x00,0x50,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_memrealtime flat_scratch    ; encoding: [0x80,0x19,0x94,0xc0,0x00,0x00,0x00,0x00]
-0x80,0x19,0x94,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_dword v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x50,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x50,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_memrealtime vcc    ; encoding: [0x80,0x1a,0x94,0xc0,0x00,0x00,0x00,0x00]
-0x80,0x1a,0x94,0xc0,0x00,0x00,0x00,0x00
+# CHECK: buffer_load_dword v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x52,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x52,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_mov_b32 s5, s1    ; encoding: [0x01,0x00,0x85,0xbe]
-0x01,0x00,0x85,0xbe
+# CHECK: buffer_load_dword v5, off, s[8:11], s3 offset:4095 lds    ; encoding: [0xff,0x0f,0x51,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x51,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_mov_b32 s101, s1    ; encoding: [0x01,0x00,0xe5,0xbe]
-0x01,0x00,0xe5,0xbe
+# CHECK: buffer_load_dwordx2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_mov_b32 flat_scratch_lo, s1    ; encoding: [0x01,0x00,0xe6,0xbe]
-0x01,0x00,0xe6,0xbe
+# CHECK: buffer_load_dwordx2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x54,0xe0,0x00,0xfe,0x02,0x03]
+0xff,0x0f,0x54,0xe0,0x00,0xfe,0x02,0x03
 
-# CHECK: s_mov_b32 flat_scratch_hi, s1    ; encoding: [0x01,0x00,0xe7,0xbe]
-0x01,0x00,0xe7,0xbe
+# CHECK: buffer_load_dwordx2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x54,0xe0,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x54,0xe0,0x00,0x05,0x03,0x03
 
-# CHECK: s_mov_b32 vcc_lo, s1    ; encoding: [0x01,0x00,0xea,0xbe]
-0x01,0x00,0xea,0xbe
+# CHECK: buffer_load_dwordx2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x54,0xe0,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x54,0xe0,0x00,0x05,0x18,0x03
 
-# CHECK: s_mov_b32 vcc_hi, s1    ; encoding: [0x01,0x00,0xeb,0xbe]
-0x01,0x00,0xeb,0xbe
+# CHECK: buffer_load_dwordx2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0x65
 
-# CHECK: s_mov_b32 m0, s1    ; encoding: [0x01,0x00,0xfc,0xbe]
-0x01,0x00,0xfc,0xbe
+# CHECK: buffer_load_dwordx2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0x7c
 
-# CHECK: s_mov_b32 exec_lo, s1    ; encoding: [0x01,0x00,0xfe,0xbe]
-0x01,0x00,0xfe,0xbe
+# CHECK: buffer_load_dwordx2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0x80
 
-# CHECK: s_mov_b32 exec_hi, s1    ; encoding: [0x01,0x00,0xff,0xbe]
-0x01,0x00,0xff,0xbe
+# CHECK: buffer_load_dwordx2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0xc1
 
-# CHECK: s_mov_b32 s5, s101    ; encoding: [0x65,0x00,0x85,0xbe]
-0x65,0x00,0x85,0xbe
+# CHECK: buffer_load_dwordx2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0xf0
 
-# CHECK: s_mov_b32 s5, flat_scratch_lo    ; encoding: [0x66,0x00,0x85,0xbe]
-0x66,0x00,0x85,0xbe
+# CHECK: buffer_load_dwordx2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x54,0xe0,0x00,0x05,0x02,0xf7
 
-# CHECK: s_mov_b32 s5, flat_scratch_hi    ; encoding: [0x67,0x00,0x85,0xbe]
-0x67,0x00,0x85,0xbe
+# CHECK: buffer_load_dwordx2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x54,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x54,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_mov_b32 s5, vcc_lo    ; encoding: [0x6a,0x00,0x85,0xbe]
-0x6a,0x00,0x85,0xbe
+# CHECK: buffer_load_dwordx2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x54,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x54,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_mov_b32 s5, vcc_hi    ; encoding: [0x6b,0x00,0x85,0xbe]
-0x6b,0x00,0x85,0xbe
+# CHECK: buffer_load_dwordx2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0x54,0xe0,0x00,0x05,0x02,0x03]
+0x00,0x00,0x54,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_mov_b32 s5, m0    ; encoding: [0x7c,0x00,0x85,0xbe]
-0x7c,0x00,0x85,0xbe
+# CHECK: buffer_load_dwordx2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x54,0xe0,0x00,0x05,0x02,0x03]
+0x07,0x00,0x54,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_mov_b32 s5, exec_lo    ; encoding: [0x7e,0x00,0x85,0xbe]
-0x7e,0x00,0x85,0xbe
+# CHECK: buffer_load_dwordx2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x54,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x54,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_mov_b32 s5, exec_hi    ; encoding: [0x7f,0x00,0x85,0xbe]
-0x7f,0x00,0x85,0xbe
+# CHECK: buffer_load_dwordx2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x56,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x56,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_mov_b32 s5, 0    ; encoding: [0x80,0x00,0x85,0xbe]
-0x80,0x00,0x85,0xbe
+# CHECK: buffer_load_dwordx3 v[5:7], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_mov_b32 s5, -1    ; encoding: [0xc1,0x00,0x85,0xbe]
-0xc1,0x00,0x85,0xbe
+# CHECK: buffer_load_dwordx3 v[253:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x58,0xe0,0x00,0xfd,0x02,0x03]
+0xff,0x0f,0x58,0xe0,0x00,0xfd,0x02,0x03
 
-# CHECK: s_mov_b32 s5, 0.5    ; encoding: [0xf0,0x00,0x85,0xbe]
-0xf0,0x00,0x85,0xbe
+# CHECK: buffer_load_dwordx3 v[5:7], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x58,0xe0,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x58,0xe0,0x00,0x05,0x03,0x03
 
-# CHECK: s_mov_b32 s5, -4.0    ; encoding: [0xf7,0x00,0x85,0xbe]
-0xf7,0x00,0x85,0xbe
+# CHECK: buffer_load_dwordx3 v[5:7], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x58,0xe0,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x58,0xe0,0x00,0x05,0x18,0x03
 
-# CHECK: s_mov_b32 s5, 0xaf123456    ; encoding: [0xff,0x00,0x85,0xbe,0x56,0x34,0x12,0xaf]
-0xff,0x00,0x85,0xbe,0x56,0x34,0x12,0xaf
+# CHECK: buffer_load_dwordx3 v[5:7], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0x65
 
-# CHECK: s_mov_b32 s5, 0x3f717273    ; encoding: [0xff,0x00,0x85,0xbe,0x73,0x72,0x71,0x3f]
-0xff,0x00,0x85,0xbe,0x73,0x72,0x71,0x3f
+# CHECK: buffer_load_dwordx3 v[5:7], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0x7c
 
-# CHECK: s_mov_b64 s[10:11], s[2:3]    ; encoding: [0x02,0x01,0x8a,0xbe]
-0x02,0x01,0x8a,0xbe
+# CHECK: buffer_load_dwordx3 v[5:7], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0x80
 
-# CHECK: s_mov_b64 s[12:13], s[2:3]    ; encoding: [0x02,0x01,0x8c,0xbe]
-0x02,0x01,0x8c,0xbe
+# CHECK: buffer_load_dwordx3 v[5:7], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0xc1
 
-# CHECK: s_mov_b64 s[100:101], s[2:3]    ; encoding: [0x02,0x01,0xe4,0xbe]
-0x02,0x01,0xe4,0xbe
+# CHECK: buffer_load_dwordx3 v[5:7], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0xf0
 
-# CHECK: s_mov_b64 flat_scratch, s[2:3]    ; encoding: [0x02,0x01,0xe6,0xbe]
-0x02,0x01,0xe6,0xbe
+# CHECK: buffer_load_dwordx3 v[5:7], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x58,0xe0,0x00,0x05,0x02,0xf7
 
-# CHECK: s_mov_b64 vcc, s[2:3]    ; encoding: [0x02,0x01,0xea,0xbe]
-0x02,0x01,0xea,0xbe
+# CHECK: buffer_load_dwordx3 v[5:7], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x58,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x58,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_mov_b64 exec, s[2:3]    ; encoding: [0x02,0x01,0xfe,0xbe]
-0x02,0x01,0xfe,0xbe
+# CHECK: buffer_load_dwordx3 v[5:7], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x58,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x58,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_mov_b64 s[10:11], s[4:5]    ; encoding: [0x04,0x01,0x8a,0xbe]
-0x04,0x01,0x8a,0xbe
+# CHECK: buffer_load_dwordx3 v[5:7], off, s[8:11], s3    ; encoding: [0x00,0x00,0x58,0xe0,0x00,0x05,0x02,0x03]
+0x00,0x00,0x58,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_mov_b64 s[10:11], s[100:101]    ; encoding: [0x64,0x01,0x8a,0xbe]
-0x64,0x01,0x8a,0xbe
+# CHECK: buffer_load_dwordx3 v[5:7], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x58,0xe0,0x00,0x05,0x02,0x03]
+0x07,0x00,0x58,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_mov_b64 s[10:11], flat_scratch    ; encoding: [0x66,0x01,0x8a,0xbe]
-0x66,0x01,0x8a,0xbe
+# CHECK: buffer_load_dwordx3 v[5:7], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x58,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x58,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_mov_b64 s[10:11], vcc    ; encoding: [0x6a,0x01,0x8a,0xbe]
-0x6a,0x01,0x8a,0xbe
+# CHECK: buffer_load_dwordx3 v[5:7], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x5a,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x5a,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_mov_b64 s[10:11], exec    ; encoding: [0x7e,0x01,0x8a,0xbe]
-0x7e,0x01,0x8a,0xbe
+# CHECK: buffer_load_dwordx4 v[5:8], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_mov_b64 s[10:11], 0    ; encoding: [0x80,0x01,0x8a,0xbe]
-0x80,0x01,0x8a,0xbe
+# CHECK: buffer_load_dwordx4 v[252:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x5c,0xe0,0x00,0xfc,0x02,0x03]
+0xff,0x0f,0x5c,0xe0,0x00,0xfc,0x02,0x03
 
-# CHECK: s_mov_b64 s[10:11], -1    ; encoding: [0xc1,0x01,0x8a,0xbe]
-0xc1,0x01,0x8a,0xbe
+# CHECK: buffer_load_dwordx4 v[5:8], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x5c,0xe0,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x5c,0xe0,0x00,0x05,0x03,0x03
 
-# CHECK: s_mov_b64 s[10:11], 0.5    ; encoding: [0xf0,0x01,0x8a,0xbe]
-0xf0,0x01,0x8a,0xbe
+# CHECK: buffer_load_dwordx4 v[5:8], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x5c,0xe0,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x5c,0xe0,0x00,0x05,0x18,0x03
 
-# CHECK: s_mov_b64 s[10:11], -4.0    ; encoding: [0xf7,0x01,0x8a,0xbe]
-0xf7,0x01,0x8a,0xbe
+# CHECK: buffer_load_dwordx4 v[5:8], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0x65
 
-# CHECK: s_mov_b64 s[10:11], 0xaf123456    ; encoding: [0xff,0x01,0x8a,0xbe,0x56,0x34,0x12,0xaf]
-0xff,0x01,0x8a,0xbe,0x56,0x34,0x12,0xaf
+# CHECK: buffer_load_dwordx4 v[5:8], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0x7c
 
-# CHECK: s_mov_b64 s[10:11], 0x3f717273    ; encoding: [0xff,0x01,0x8a,0xbe,0x73,0x72,0x71,0x3f]
-0xff,0x01,0x8a,0xbe,0x73,0x72,0x71,0x3f
+# CHECK: buffer_load_dwordx4 v[5:8], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0x80
 
-# CHECK: s_cmov_b32 s5, s1    ; encoding: [0x01,0x02,0x85,0xbe]
-0x01,0x02,0x85,0xbe
+# CHECK: buffer_load_dwordx4 v[5:8], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0xc1
 
-# CHECK: s_cmov_b32 s101, s1    ; encoding: [0x01,0x02,0xe5,0xbe]
-0x01,0x02,0xe5,0xbe
+# CHECK: buffer_load_dwordx4 v[5:8], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0xf0
 
-# CHECK: s_cmov_b32 flat_scratch_lo, s1    ; encoding: [0x01,0x02,0xe6,0xbe]
-0x01,0x02,0xe6,0xbe
+# CHECK: buffer_load_dwordx4 v[5:8], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x5c,0xe0,0x00,0x05,0x02,0xf7
 
-# CHECK: s_cmov_b32 flat_scratch_hi, s1    ; encoding: [0x01,0x02,0xe7,0xbe]
-0x01,0x02,0xe7,0xbe
+# CHECK: buffer_load_dwordx4 v[5:8], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x5c,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x5c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_cmov_b32 vcc_lo, s1    ; encoding: [0x01,0x02,0xea,0xbe]
-0x01,0x02,0xea,0xbe
+# CHECK: buffer_load_dwordx4 v[5:8], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x5c,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x5c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_cmov_b32 vcc_hi, s1    ; encoding: [0x01,0x02,0xeb,0xbe]
-0x01,0x02,0xeb,0xbe
+# CHECK: buffer_load_dwordx4 v[5:8], off, s[8:11], s3    ; encoding: [0x00,0x00,0x5c,0xe0,0x00,0x05,0x02,0x03]
+0x00,0x00,0x5c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_cmov_b32 m0, s1    ; encoding: [0x01,0x02,0xfc,0xbe]
-0x01,0x02,0xfc,0xbe
+# CHECK: buffer_load_dwordx4 v[5:8], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x5c,0xe0,0x00,0x05,0x02,0x03]
+0x07,0x00,0x5c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_cmov_b32 exec_lo, s1    ; encoding: [0x01,0x02,0xfe,0xbe]
-0x01,0x02,0xfe,0xbe
+# CHECK: buffer_load_dwordx4 v[5:8], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x5c,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x5c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_cmov_b32 exec_hi, s1    ; encoding: [0x01,0x02,0xff,0xbe]
-0x01,0x02,0xff,0xbe
+# CHECK: buffer_load_dwordx4 v[5:8], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x5e,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x5e,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_cmov_b32 s5, s101    ; encoding: [0x65,0x02,0x85,0xbe]
-0x65,0x02,0x85,0xbe
+# CHECK: buffer_store_byte v1, off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_cmov_b32 s5, flat_scratch_lo    ; encoding: [0x66,0x02,0x85,0xbe]
-0x66,0x02,0x85,0xbe
+# CHECK: buffer_store_byte v255, off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x60,0xe0,0x00,0xff,0x03,0x04]
+0xff,0x0f,0x60,0xe0,0x00,0xff,0x03,0x04
 
-# CHECK: s_cmov_b32 s5, flat_scratch_hi    ; encoding: [0x67,0x02,0x85,0xbe]
-0x67,0x02,0x85,0xbe
+# CHECK: buffer_store_byte v1, off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x60,0xe0,0x00,0x01,0x04,0x04]
+0xff,0x0f,0x60,0xe0,0x00,0x01,0x04,0x04
 
-# CHECK: s_cmov_b32 s5, vcc_lo    ; encoding: [0x6a,0x02,0x85,0xbe]
-0x6a,0x02,0x85,0xbe
+# CHECK: buffer_store_byte v1, off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x60,0xe0,0x00,0x01,0x18,0x04]
+0xff,0x0f,0x60,0xe0,0x00,0x01,0x18,0x04
 
-# CHECK: s_cmov_b32 s5, vcc_hi    ; encoding: [0x6b,0x02,0x85,0xbe]
-0x6b,0x02,0x85,0xbe
+# CHECK: buffer_store_byte v1, off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0x65]
+0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0x65
 
-# CHECK: s_cmov_b32 s5, m0    ; encoding: [0x7c,0x02,0x85,0xbe]
-0x7c,0x02,0x85,0xbe
+# CHECK: buffer_store_byte v1, off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0x7c]
+0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0x7c
 
-# CHECK: s_cmov_b32 s5, exec_lo    ; encoding: [0x7e,0x02,0x85,0xbe]
-0x7e,0x02,0x85,0xbe
+# CHECK: buffer_store_byte v1, off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0x80]
+0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0x80
 
-# CHECK: s_cmov_b32 s5, exec_hi    ; encoding: [0x7f,0x02,0x85,0xbe]
-0x7f,0x02,0x85,0xbe
+# CHECK: buffer_store_byte v1, off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0xc1]
+0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0xc1
 
-# CHECK: s_cmov_b32 s5, 0    ; encoding: [0x80,0x02,0x85,0xbe]
-0x80,0x02,0x85,0xbe
+# CHECK: buffer_store_byte v1, off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0xf0]
+0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0xf0
 
-# CHECK: s_cmov_b32 s5, -1    ; encoding: [0xc1,0x02,0x85,0xbe]
-0xc1,0x02,0x85,0xbe
+# CHECK: buffer_store_byte v1, off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0xf7]
+0xff,0x0f,0x60,0xe0,0x00,0x01,0x03,0xf7
 
-# CHECK: s_cmov_b32 s5, 0.5    ; encoding: [0xf0,0x02,0x85,0xbe]
-0xf0,0x02,0x85,0xbe
+# CHECK: buffer_store_byte v1, v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x60,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x2f,0x60,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_cmov_b32 s5, -4.0    ; encoding: [0xf7,0x02,0x85,0xbe]
-0xf7,0x02,0x85,0xbe
+# CHECK: buffer_store_byte v1, v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x60,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x1f,0x60,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_cmov_b32 s5, 0xaf123456    ; encoding: [0xff,0x02,0x85,0xbe,0x56,0x34,0x12,0xaf]
-0xff,0x02,0x85,0xbe,0x56,0x34,0x12,0xaf
+# CHECK: buffer_store_byte v1, off, s[12:15], s4    ; encoding: [0x00,0x00,0x60,0xe0,0x00,0x01,0x03,0x04]
+0x00,0x00,0x60,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_cmov_b32 s5, 0x3f717273    ; encoding: [0xff,0x02,0x85,0xbe,0x73,0x72,0x71,0x3f]
-0xff,0x02,0x85,0xbe,0x73,0x72,0x71,0x3f
+# CHECK: buffer_store_byte v1, off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x60,0xe0,0x00,0x01,0x03,0x04]
+0x07,0x00,0x60,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_cmov_b64 s[10:11], s[2:3]    ; encoding: [0x02,0x03,0x8a,0xbe]
-0x02,0x03,0x8a,0xbe
+# CHECK: buffer_store_byte v1, off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x60,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x4f,0x60,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_cmov_b64 s[12:13], s[2:3]    ; encoding: [0x02,0x03,0x8c,0xbe]
-0x02,0x03,0x8c,0xbe
+# CHECK: buffer_store_byte v1, off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x62,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x62,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_cmov_b64 s[100:101], s[2:3]    ; encoding: [0x02,0x03,0xe4,0xbe]
-0x02,0x03,0xe4,0xbe
+# CHECK: buffer_store_byte_d16_hi v1, off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x64,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x64,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_cmov_b64 flat_scratch, s[2:3]    ; encoding: [0x02,0x03,0xe6,0xbe]
-0x02,0x03,0xe6,0xbe
+# CHECK: buffer_store_byte_d16_hi v255, off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x64,0xe0,0x00,0xff,0x03,0x04]
+0xff,0x0f,0x64,0xe0,0x00,0xff,0x03,0x04
 
-# CHECK: s_cmov_b64 vcc, s[2:3]    ; encoding: [0x02,0x03,0xea,0xbe]
-0x02,0x03,0xea,0xbe
+# CHECK: buffer_store_byte_d16_hi v1, off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x64,0xe0,0x00,0x01,0x04,0x04]
+0xff,0x0f,0x64,0xe0,0x00,0x01,0x04,0x04
 
-# CHECK: s_cmov_b64 exec, s[2:3]    ; encoding: [0x02,0x03,0xfe,0xbe]
-0x02,0x03,0xfe,0xbe
+# CHECK: buffer_store_byte_d16_hi v1, off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x64,0xe0,0x00,0x01,0x18,0x04]
+0xff,0x0f,0x64,0xe0,0x00,0x01,0x18,0x04
 
-# CHECK: s_cmov_b64 s[10:11], s[4:5]    ; encoding: [0x04,0x03,0x8a,0xbe]
-0x04,0x03,0x8a,0xbe
+# CHECK: buffer_store_byte_d16_hi v1, off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x64,0xe0,0x00,0x01,0x03,0x65]
+0xff,0x0f,0x64,0xe0,0x00,0x01,0x03,0x65
 
-# CHECK: s_cmov_b64 s[10:11], s[100:101]    ; encoding: [0x64,0x03,0x8a,0xbe]
-0x64,0x03,0x8a,0xbe
+# CHECK: buffer_store_byte_d16_hi v1, off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x64,0xe0,0x00,0x01,0x03,0x7c]
+0xff,0x0f,0x64,0xe0,0x00,0x01,0x03,0x7c
 
-# CHECK: s_cmov_b64 s[10:11], flat_scratch    ; encoding: [0x66,0x03,0x8a,0xbe]
-0x66,0x03,0x8a,0xbe
+# CHECK: buffer_store_byte_d16_hi v1, off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x64,0xe0,0x00,0x01,0x03,0x80]
+0xff,0x0f,0x64,0xe0,0x00,0x01,0x03,0x80
 
-# CHECK: s_cmov_b64 s[10:11], vcc    ; encoding: [0x6a,0x03,0x8a,0xbe]
-0x6a,0x03,0x8a,0xbe
+# CHECK: buffer_store_byte_d16_hi v1, off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x64,0xe0,0x00,0x01,0x03,0xc1]
+0xff,0x0f,0x64,0xe0,0x00,0x01,0x03,0xc1
 
-# CHECK: s_cmov_b64 s[10:11], exec    ; encoding: [0x7e,0x03,0x8a,0xbe]
-0x7e,0x03,0x8a,0xbe
+# CHECK: buffer_store_byte_d16_hi v1, off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x64,0xe0,0x00,0x01,0x03,0xf0]
+0xff,0x0f,0x64,0xe0,0x00,0x01,0x03,0xf0
 
-# CHECK: s_cmov_b64 s[10:11], 0    ; encoding: [0x80,0x03,0x8a,0xbe]
-0x80,0x03,0x8a,0xbe
+# CHECK: buffer_store_byte_d16_hi v1, off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x64,0xe0,0x00,0x01,0x03,0xf7]
+0xff,0x0f,0x64,0xe0,0x00,0x01,0x03,0xf7
 
-# CHECK: s_cmov_b64 s[10:11], -1    ; encoding: [0xc1,0x03,0x8a,0xbe]
-0xc1,0x03,0x8a,0xbe
+# CHECK: buffer_store_byte_d16_hi v1, v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x64,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x2f,0x64,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_cmov_b64 s[10:11], 0.5    ; encoding: [0xf0,0x03,0x8a,0xbe]
-0xf0,0x03,0x8a,0xbe
+# CHECK: buffer_store_byte_d16_hi v1, v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x64,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x1f,0x64,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_cmov_b64 s[10:11], -4.0    ; encoding: [0xf7,0x03,0x8a,0xbe]
-0xf7,0x03,0x8a,0xbe
+# CHECK: buffer_store_byte_d16_hi v1, off, s[12:15], s4    ; encoding: [0x00,0x00,0x64,0xe0,0x00,0x01,0x03,0x04]
+0x00,0x00,0x64,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_cmov_b64 s[10:11], 0xaf123456    ; encoding: [0xff,0x03,0x8a,0xbe,0x56,0x34,0x12,0xaf]
-0xff,0x03,0x8a,0xbe,0x56,0x34,0x12,0xaf
+# CHECK: buffer_store_byte_d16_hi v1, off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x64,0xe0,0x00,0x01,0x03,0x04]
+0x07,0x00,0x64,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_cmov_b64 s[10:11], 0x3f717273    ; encoding: [0xff,0x03,0x8a,0xbe,0x73,0x72,0x71,0x3f]
-0xff,0x03,0x8a,0xbe,0x73,0x72,0x71,0x3f
+# CHECK: buffer_store_byte_d16_hi v1, off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x64,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x4f,0x64,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_not_b32 s5, s1    ; encoding: [0x01,0x04,0x85,0xbe]
-0x01,0x04,0x85,0xbe
+# CHECK: buffer_store_byte_d16_hi v1, off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x66,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x66,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_not_b32 s101, s1    ; encoding: [0x01,0x04,0xe5,0xbe]
-0x01,0x04,0xe5,0xbe
+# CHECK: buffer_store_short v1, off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_not_b32 flat_scratch_lo, s1    ; encoding: [0x01,0x04,0xe6,0xbe]
-0x01,0x04,0xe6,0xbe
+# CHECK: buffer_store_short v255, off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x68,0xe0,0x00,0xff,0x03,0x04]
+0xff,0x0f,0x68,0xe0,0x00,0xff,0x03,0x04
 
-# CHECK: s_not_b32 flat_scratch_hi, s1    ; encoding: [0x01,0x04,0xe7,0xbe]
-0x01,0x04,0xe7,0xbe
+# CHECK: buffer_store_short v1, off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x68,0xe0,0x00,0x01,0x04,0x04]
+0xff,0x0f,0x68,0xe0,0x00,0x01,0x04,0x04
 
-# CHECK: s_not_b32 vcc_lo, s1    ; encoding: [0x01,0x04,0xea,0xbe]
-0x01,0x04,0xea,0xbe
+# CHECK: buffer_store_short v1, off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x68,0xe0,0x00,0x01,0x18,0x04]
+0xff,0x0f,0x68,0xe0,0x00,0x01,0x18,0x04
 
-# CHECK: s_not_b32 vcc_hi, s1    ; encoding: [0x01,0x04,0xeb,0xbe]
-0x01,0x04,0xeb,0xbe
+# CHECK: buffer_store_short v1, off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0x65]
+0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0x65
 
-# CHECK: s_not_b32 m0, s1    ; encoding: [0x01,0x04,0xfc,0xbe]
-0x01,0x04,0xfc,0xbe
+# CHECK: buffer_store_short v1, off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0x7c]
+0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0x7c
 
-# CHECK: s_not_b32 exec_lo, s1    ; encoding: [0x01,0x04,0xfe,0xbe]
-0x01,0x04,0xfe,0xbe
+# CHECK: buffer_store_short v1, off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0x80]
+0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0x80
 
-# CHECK: s_not_b32 exec_hi, s1    ; encoding: [0x01,0x04,0xff,0xbe]
-0x01,0x04,0xff,0xbe
+# CHECK: buffer_store_short v1, off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0xc1]
+0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0xc1
 
-# CHECK: s_not_b32 s5, s101    ; encoding: [0x65,0x04,0x85,0xbe]
-0x65,0x04,0x85,0xbe
+# CHECK: buffer_store_short v1, off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0xf0]
+0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0xf0
 
-# CHECK: s_not_b32 s5, flat_scratch_lo    ; encoding: [0x66,0x04,0x85,0xbe]
-0x66,0x04,0x85,0xbe
+# CHECK: buffer_store_short v1, off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0xf7]
+0xff,0x0f,0x68,0xe0,0x00,0x01,0x03,0xf7
 
-# CHECK: s_not_b32 s5, flat_scratch_hi    ; encoding: [0x67,0x04,0x85,0xbe]
-0x67,0x04,0x85,0xbe
+# CHECK: buffer_store_short v1, v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x68,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x2f,0x68,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_not_b32 s5, vcc_lo    ; encoding: [0x6a,0x04,0x85,0xbe]
-0x6a,0x04,0x85,0xbe
+# CHECK: buffer_store_short v1, v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x68,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x1f,0x68,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_not_b32 s5, vcc_hi    ; encoding: [0x6b,0x04,0x85,0xbe]
-0x6b,0x04,0x85,0xbe
+# CHECK: buffer_store_short v1, off, s[12:15], s4    ; encoding: [0x00,0x00,0x68,0xe0,0x00,0x01,0x03,0x04]
+0x00,0x00,0x68,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_not_b32 s5, m0    ; encoding: [0x7c,0x04,0x85,0xbe]
-0x7c,0x04,0x85,0xbe
+# CHECK: buffer_store_short v1, off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x68,0xe0,0x00,0x01,0x03,0x04]
+0x07,0x00,0x68,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_not_b32 s5, exec_lo    ; encoding: [0x7e,0x04,0x85,0xbe]
-0x7e,0x04,0x85,0xbe
+# CHECK: buffer_store_short v1, off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x68,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x4f,0x68,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_not_b32 s5, exec_hi    ; encoding: [0x7f,0x04,0x85,0xbe]
-0x7f,0x04,0x85,0xbe
+# CHECK: buffer_store_short v1, off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x6a,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x6a,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_not_b32 s5, 0    ; encoding: [0x80,0x04,0x85,0xbe]
-0x80,0x04,0x85,0xbe
+# CHECK: buffer_store_short_d16_hi v1, off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x6c,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x6c,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_not_b32 s5, -1    ; encoding: [0xc1,0x04,0x85,0xbe]
-0xc1,0x04,0x85,0xbe
+# CHECK: buffer_store_short_d16_hi v255, off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x6c,0xe0,0x00,0xff,0x03,0x04]
+0xff,0x0f,0x6c,0xe0,0x00,0xff,0x03,0x04
 
-# CHECK: s_not_b32 s5, 0.5    ; encoding: [0xf0,0x04,0x85,0xbe]
-0xf0,0x04,0x85,0xbe
+# CHECK: buffer_store_short_d16_hi v1, off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x6c,0xe0,0x00,0x01,0x04,0x04]
+0xff,0x0f,0x6c,0xe0,0x00,0x01,0x04,0x04
 
-# CHECK: s_not_b32 s5, -4.0    ; encoding: [0xf7,0x04,0x85,0xbe]
-0xf7,0x04,0x85,0xbe
+# CHECK: buffer_store_short_d16_hi v1, off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x6c,0xe0,0x00,0x01,0x18,0x04]
+0xff,0x0f,0x6c,0xe0,0x00,0x01,0x18,0x04
 
-# CHECK: s_not_b32 s5, 0xaf123456    ; encoding: [0xff,0x04,0x85,0xbe,0x56,0x34,0x12,0xaf]
-0xff,0x04,0x85,0xbe,0x56,0x34,0x12,0xaf
+# CHECK: buffer_store_short_d16_hi v1, off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x6c,0xe0,0x00,0x01,0x03,0x65]
+0xff,0x0f,0x6c,0xe0,0x00,0x01,0x03,0x65
 
-# CHECK: s_not_b32 s5, 0x3f717273    ; encoding: [0xff,0x04,0x85,0xbe,0x73,0x72,0x71,0x3f]
-0xff,0x04,0x85,0xbe,0x73,0x72,0x71,0x3f
+# CHECK: buffer_store_short_d16_hi v1, off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x6c,0xe0,0x00,0x01,0x03,0x7c]
+0xff,0x0f,0x6c,0xe0,0x00,0x01,0x03,0x7c
 
-# CHECK: s_not_b64 s[10:11], s[2:3]    ; encoding: [0x02,0x05,0x8a,0xbe]
-0x02,0x05,0x8a,0xbe
+# CHECK: buffer_store_short_d16_hi v1, off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x6c,0xe0,0x00,0x01,0x03,0x80]
+0xff,0x0f,0x6c,0xe0,0x00,0x01,0x03,0x80
 
-# CHECK: s_not_b64 s[12:13], s[2:3]    ; encoding: [0x02,0x05,0x8c,0xbe]
-0x02,0x05,0x8c,0xbe
+# CHECK: buffer_store_short_d16_hi v1, off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x6c,0xe0,0x00,0x01,0x03,0xc1]
+0xff,0x0f,0x6c,0xe0,0x00,0x01,0x03,0xc1
 
-# CHECK: s_not_b64 s[100:101], s[2:3]    ; encoding: [0x02,0x05,0xe4,0xbe]
-0x02,0x05,0xe4,0xbe
+# CHECK: buffer_store_short_d16_hi v1, off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x6c,0xe0,0x00,0x01,0x03,0xf0]
+0xff,0x0f,0x6c,0xe0,0x00,0x01,0x03,0xf0
 
-# CHECK: s_not_b64 flat_scratch, s[2:3]    ; encoding: [0x02,0x05,0xe6,0xbe]
-0x02,0x05,0xe6,0xbe
+# CHECK: buffer_store_short_d16_hi v1, off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x6c,0xe0,0x00,0x01,0x03,0xf7]
+0xff,0x0f,0x6c,0xe0,0x00,0x01,0x03,0xf7
 
-# CHECK: s_not_b64 vcc, s[2:3]    ; encoding: [0x02,0x05,0xea,0xbe]
-0x02,0x05,0xea,0xbe
+# CHECK: buffer_store_short_d16_hi v1, v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x6c,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x2f,0x6c,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_not_b64 exec, s[2:3]    ; encoding: [0x02,0x05,0xfe,0xbe]
-0x02,0x05,0xfe,0xbe
+# CHECK: buffer_store_short_d16_hi v1, v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x6c,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x1f,0x6c,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_not_b64 s[10:11], s[4:5]    ; encoding: [0x04,0x05,0x8a,0xbe]
-0x04,0x05,0x8a,0xbe
+# CHECK: buffer_store_short_d16_hi v1, off, s[12:15], s4    ; encoding: [0x00,0x00,0x6c,0xe0,0x00,0x01,0x03,0x04]
+0x00,0x00,0x6c,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_not_b64 s[10:11], s[100:101]    ; encoding: [0x64,0x05,0x8a,0xbe]
-0x64,0x05,0x8a,0xbe
+# CHECK: buffer_store_short_d16_hi v1, off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x6c,0xe0,0x00,0x01,0x03,0x04]
+0x07,0x00,0x6c,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_not_b64 s[10:11], flat_scratch    ; encoding: [0x66,0x05,0x8a,0xbe]
-0x66,0x05,0x8a,0xbe
+# CHECK: buffer_store_short_d16_hi v1, off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x6c,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x4f,0x6c,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_not_b64 s[10:11], vcc    ; encoding: [0x6a,0x05,0x8a,0xbe]
-0x6a,0x05,0x8a,0xbe
+# CHECK: buffer_store_short_d16_hi v1, off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x6e,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x6e,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_not_b64 s[10:11], exec    ; encoding: [0x7e,0x05,0x8a,0xbe]
-0x7e,0x05,0x8a,0xbe
+# CHECK: buffer_store_dword v1, off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_not_b64 s[10:11], 0    ; encoding: [0x80,0x05,0x8a,0xbe]
-0x80,0x05,0x8a,0xbe
+# CHECK: buffer_store_dword v255, off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x70,0xe0,0x00,0xff,0x03,0x04]
+0xff,0x0f,0x70,0xe0,0x00,0xff,0x03,0x04
 
-# CHECK: s_not_b64 s[10:11], -1    ; encoding: [0xc1,0x05,0x8a,0xbe]
-0xc1,0x05,0x8a,0xbe
+# CHECK: buffer_store_dword v1, off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x70,0xe0,0x00,0x01,0x04,0x04]
+0xff,0x0f,0x70,0xe0,0x00,0x01,0x04,0x04
 
-# CHECK: s_not_b64 s[10:11], 0.5    ; encoding: [0xf0,0x05,0x8a,0xbe]
-0xf0,0x05,0x8a,0xbe
+# CHECK: buffer_store_dword v1, off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x70,0xe0,0x00,0x01,0x18,0x04]
+0xff,0x0f,0x70,0xe0,0x00,0x01,0x18,0x04
 
-# CHECK: s_not_b64 s[10:11], -4.0    ; encoding: [0xf7,0x05,0x8a,0xbe]
-0xf7,0x05,0x8a,0xbe
+# CHECK: buffer_store_dword v1, off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0x65]
+0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0x65
 
-# CHECK: s_not_b64 s[10:11], 0xaf123456    ; encoding: [0xff,0x05,0x8a,0xbe,0x56,0x34,0x12,0xaf]
-0xff,0x05,0x8a,0xbe,0x56,0x34,0x12,0xaf
+# CHECK: buffer_store_dword v1, off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0x7c]
+0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0x7c
 
-# CHECK: s_not_b64 s[10:11], 0x3f717273    ; encoding: [0xff,0x05,0x8a,0xbe,0x73,0x72,0x71,0x3f]
-0xff,0x05,0x8a,0xbe,0x73,0x72,0x71,0x3f
+# CHECK: buffer_store_dword v1, off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0x80]
+0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0x80
 
-# CHECK: s_wqm_b32 s5, s1    ; encoding: [0x01,0x06,0x85,0xbe]
-0x01,0x06,0x85,0xbe
+# CHECK: buffer_store_dword v1, off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0xc1]
+0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0xc1
 
-# CHECK: s_wqm_b32 s101, s1    ; encoding: [0x01,0x06,0xe5,0xbe]
-0x01,0x06,0xe5,0xbe
+# CHECK: buffer_store_dword v1, off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0xf0]
+0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0xf0
 
-# CHECK: s_wqm_b32 flat_scratch_lo, s1    ; encoding: [0x01,0x06,0xe6,0xbe]
-0x01,0x06,0xe6,0xbe
+# CHECK: buffer_store_dword v1, off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0xf7]
+0xff,0x0f,0x70,0xe0,0x00,0x01,0x03,0xf7
 
-# CHECK: s_wqm_b32 flat_scratch_hi, s1    ; encoding: [0x01,0x06,0xe7,0xbe]
-0x01,0x06,0xe7,0xbe
+# CHECK: buffer_store_dword v1, v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x70,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x2f,0x70,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_wqm_b32 vcc_lo, s1    ; encoding: [0x01,0x06,0xea,0xbe]
-0x01,0x06,0xea,0xbe
+# CHECK: buffer_store_dword v1, v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x70,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x1f,0x70,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_wqm_b32 vcc_hi, s1    ; encoding: [0x01,0x06,0xeb,0xbe]
-0x01,0x06,0xeb,0xbe
+# CHECK: buffer_store_dword v1, off, s[12:15], s4    ; encoding: [0x00,0x00,0x70,0xe0,0x00,0x01,0x03,0x04]
+0x00,0x00,0x70,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_wqm_b32 m0, s1    ; encoding: [0x01,0x06,0xfc,0xbe]
-0x01,0x06,0xfc,0xbe
+# CHECK: buffer_store_dword v1, off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x70,0xe0,0x00,0x01,0x03,0x04]
+0x07,0x00,0x70,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_wqm_b32 exec_lo, s1    ; encoding: [0x01,0x06,0xfe,0xbe]
-0x01,0x06,0xfe,0xbe
+# CHECK: buffer_store_dword v1, off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x70,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x4f,0x70,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_wqm_b32 exec_hi, s1    ; encoding: [0x01,0x06,0xff,0xbe]
-0x01,0x06,0xff,0xbe
+# CHECK: buffer_store_dword v1, off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x72,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x72,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_wqm_b32 s5, s101    ; encoding: [0x65,0x06,0x85,0xbe]
-0x65,0x06,0x85,0xbe
+# CHECK: buffer_store_dwordx2 v[1:2], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_wqm_b32 s5, flat_scratch_lo    ; encoding: [0x66,0x06,0x85,0xbe]
-0x66,0x06,0x85,0xbe
+# CHECK: buffer_store_dwordx2 v[254:255], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x74,0xe0,0x00,0xfe,0x03,0x04]
+0xff,0x0f,0x74,0xe0,0x00,0xfe,0x03,0x04
 
-# CHECK: s_wqm_b32 s5, flat_scratch_hi    ; encoding: [0x67,0x06,0x85,0xbe]
-0x67,0x06,0x85,0xbe
+# CHECK: buffer_store_dwordx2 v[1:2], off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x74,0xe0,0x00,0x01,0x04,0x04]
+0xff,0x0f,0x74,0xe0,0x00,0x01,0x04,0x04
 
-# CHECK: s_wqm_b32 s5, vcc_lo    ; encoding: [0x6a,0x06,0x85,0xbe]
-0x6a,0x06,0x85,0xbe
+# CHECK: buffer_store_dwordx2 v[1:2], off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x74,0xe0,0x00,0x01,0x18,0x04]
+0xff,0x0f,0x74,0xe0,0x00,0x01,0x18,0x04
 
-# CHECK: s_wqm_b32 s5, vcc_hi    ; encoding: [0x6b,0x06,0x85,0xbe]
-0x6b,0x06,0x85,0xbe
+# CHECK: buffer_store_dwordx2 v[1:2], off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0x65]
+0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0x65
 
-# CHECK: s_wqm_b32 s5, m0    ; encoding: [0x7c,0x06,0x85,0xbe]
-0x7c,0x06,0x85,0xbe
+# CHECK: buffer_store_dwordx2 v[1:2], off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0x7c]
+0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0x7c
 
-# CHECK: s_wqm_b32 s5, exec_lo    ; encoding: [0x7e,0x06,0x85,0xbe]
-0x7e,0x06,0x85,0xbe
+# CHECK: buffer_store_dwordx2 v[1:2], off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0x80]
+0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0x80
 
-# CHECK: s_wqm_b32 s5, exec_hi    ; encoding: [0x7f,0x06,0x85,0xbe]
-0x7f,0x06,0x85,0xbe
+# CHECK: buffer_store_dwordx2 v[1:2], off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0xc1]
+0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0xc1
 
-# CHECK: s_wqm_b32 s5, 0    ; encoding: [0x80,0x06,0x85,0xbe]
-0x80,0x06,0x85,0xbe
+# CHECK: buffer_store_dwordx2 v[1:2], off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0xf0]
+0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0xf0
 
-# CHECK: s_wqm_b32 s5, -1    ; encoding: [0xc1,0x06,0x85,0xbe]
-0xc1,0x06,0x85,0xbe
+# CHECK: buffer_store_dwordx2 v[1:2], off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0xf7]
+0xff,0x0f,0x74,0xe0,0x00,0x01,0x03,0xf7
 
-# CHECK: s_wqm_b32 s5, 0.5    ; encoding: [0xf0,0x06,0x85,0xbe]
-0xf0,0x06,0x85,0xbe
+# CHECK: buffer_store_dwordx2 v[1:2], v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x74,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x2f,0x74,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_wqm_b32 s5, -4.0    ; encoding: [0xf7,0x06,0x85,0xbe]
-0xf7,0x06,0x85,0xbe
+# CHECK: buffer_store_dwordx2 v[1:2], v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x74,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x1f,0x74,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_wqm_b32 s5, 0xaf123456    ; encoding: [0xff,0x06,0x85,0xbe,0x56,0x34,0x12,0xaf]
-0xff,0x06,0x85,0xbe,0x56,0x34,0x12,0xaf
+# CHECK: buffer_store_dwordx2 v[1:2], off, s[12:15], s4    ; encoding: [0x00,0x00,0x74,0xe0,0x00,0x01,0x03,0x04]
+0x00,0x00,0x74,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_wqm_b32 s5, 0x3f717273    ; encoding: [0xff,0x06,0x85,0xbe,0x73,0x72,0x71,0x3f]
-0xff,0x06,0x85,0xbe,0x73,0x72,0x71,0x3f
+# CHECK: buffer_store_dwordx2 v[1:2], off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x74,0xe0,0x00,0x01,0x03,0x04]
+0x07,0x00,0x74,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_wqm_b64 s[10:11], s[2:3]    ; encoding: [0x02,0x07,0x8a,0xbe]
-0x02,0x07,0x8a,0xbe
+# CHECK: buffer_store_dwordx2 v[1:2], off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x74,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x4f,0x74,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_wqm_b64 s[12:13], s[2:3]    ; encoding: [0x02,0x07,0x8c,0xbe]
-0x02,0x07,0x8c,0xbe
+# CHECK: buffer_store_dwordx2 v[1:2], off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x76,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x76,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_wqm_b64 s[100:101], s[2:3]    ; encoding: [0x02,0x07,0xe4,0xbe]
-0x02,0x07,0xe4,0xbe
+# CHECK: buffer_store_dwordx3 v[1:3], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_wqm_b64 flat_scratch, s[2:3]    ; encoding: [0x02,0x07,0xe6,0xbe]
-0x02,0x07,0xe6,0xbe
+# CHECK: buffer_store_dwordx3 v[253:255], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x78,0xe0,0x00,0xfd,0x03,0x04]
+0xff,0x0f,0x78,0xe0,0x00,0xfd,0x03,0x04
 
-# CHECK: s_wqm_b64 vcc, s[2:3]    ; encoding: [0x02,0x07,0xea,0xbe]
-0x02,0x07,0xea,0xbe
+# CHECK: buffer_store_dwordx3 v[1:3], off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x78,0xe0,0x00,0x01,0x04,0x04]
+0xff,0x0f,0x78,0xe0,0x00,0x01,0x04,0x04
 
-# CHECK: s_wqm_b64 exec, s[2:3]    ; encoding: [0x02,0x07,0xfe,0xbe]
-0x02,0x07,0xfe,0xbe
+# CHECK: buffer_store_dwordx3 v[1:3], off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x78,0xe0,0x00,0x01,0x18,0x04]
+0xff,0x0f,0x78,0xe0,0x00,0x01,0x18,0x04
 
-# CHECK: s_wqm_b64 s[10:11], s[4:5]    ; encoding: [0x04,0x07,0x8a,0xbe]
-0x04,0x07,0x8a,0xbe
+# CHECK: buffer_store_dwordx3 v[1:3], off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0x65]
+0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0x65
 
-# CHECK: s_wqm_b64 s[10:11], s[100:101]    ; encoding: [0x64,0x07,0x8a,0xbe]
-0x64,0x07,0x8a,0xbe
+# CHECK: buffer_store_dwordx3 v[1:3], off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0x7c]
+0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0x7c
 
-# CHECK: s_wqm_b64 s[10:11], flat_scratch    ; encoding: [0x66,0x07,0x8a,0xbe]
-0x66,0x07,0x8a,0xbe
+# CHECK: buffer_store_dwordx3 v[1:3], off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0x80]
+0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0x80
 
-# CHECK: s_wqm_b64 s[10:11], vcc    ; encoding: [0x6a,0x07,0x8a,0xbe]
-0x6a,0x07,0x8a,0xbe
+# CHECK: buffer_store_dwordx3 v[1:3], off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0xc1]
+0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0xc1
 
-# CHECK: s_wqm_b64 s[10:11], exec    ; encoding: [0x7e,0x07,0x8a,0xbe]
-0x7e,0x07,0x8a,0xbe
+# CHECK: buffer_store_dwordx3 v[1:3], off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0xf0]
+0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0xf0
 
-# CHECK: s_wqm_b64 s[10:11], 0    ; encoding: [0x80,0x07,0x8a,0xbe]
-0x80,0x07,0x8a,0xbe
+# CHECK: buffer_store_dwordx3 v[1:3], off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0xf7]
+0xff,0x0f,0x78,0xe0,0x00,0x01,0x03,0xf7
 
-# CHECK: s_wqm_b64 s[10:11], -1    ; encoding: [0xc1,0x07,0x8a,0xbe]
-0xc1,0x07,0x8a,0xbe
+# CHECK: buffer_store_dwordx3 v[1:3], v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x78,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x2f,0x78,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_wqm_b64 s[10:11], 0.5    ; encoding: [0xf0,0x07,0x8a,0xbe]
-0xf0,0x07,0x8a,0xbe
+# CHECK: buffer_store_dwordx3 v[1:3], v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x78,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x1f,0x78,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_wqm_b64 s[10:11], -4.0    ; encoding: [0xf7,0x07,0x8a,0xbe]
-0xf7,0x07,0x8a,0xbe
+# CHECK: buffer_store_dwordx3 v[1:3], off, s[12:15], s4    ; encoding: [0x00,0x00,0x78,0xe0,0x00,0x01,0x03,0x04]
+0x00,0x00,0x78,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_wqm_b64 s[10:11], 0xaf123456    ; encoding: [0xff,0x07,0x8a,0xbe,0x56,0x34,0x12,0xaf]
-0xff,0x07,0x8a,0xbe,0x56,0x34,0x12,0xaf
+# CHECK: buffer_store_dwordx3 v[1:3], off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x78,0xe0,0x00,0x01,0x03,0x04]
+0x07,0x00,0x78,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_wqm_b64 s[10:11], 0x3f717273    ; encoding: [0xff,0x07,0x8a,0xbe,0x73,0x72,0x71,0x3f]
-0xff,0x07,0x8a,0xbe,0x73,0x72,0x71,0x3f
+# CHECK: buffer_store_dwordx3 v[1:3], off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x78,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x4f,0x78,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_brev_b32 s5, s1    ; encoding: [0x01,0x08,0x85,0xbe]
-0x01,0x08,0x85,0xbe
+# CHECK: buffer_store_dwordx3 v[1:3], off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x7a,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x7a,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_brev_b32 s101, s1    ; encoding: [0x01,0x08,0xe5,0xbe]
-0x01,0x08,0xe5,0xbe
+# CHECK: buffer_store_dwordx4 v[1:4], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_brev_b32 flat_scratch_lo, s1    ; encoding: [0x01,0x08,0xe6,0xbe]
-0x01,0x08,0xe6,0xbe
+# CHECK: buffer_store_dwordx4 v[252:255], off, s[12:15], s4 offset:4095    ; encoding: [0xff,0x0f,0x7c,0xe0,0x00,0xfc,0x03,0x04]
+0xff,0x0f,0x7c,0xe0,0x00,0xfc,0x03,0x04
 
-# CHECK: s_brev_b32 flat_scratch_hi, s1    ; encoding: [0x01,0x08,0xe7,0xbe]
-0x01,0x08,0xe7,0xbe
+# CHECK: buffer_store_dwordx4 v[1:4], off, s[16:19], s4 offset:4095    ; encoding: [0xff,0x0f,0x7c,0xe0,0x00,0x01,0x04,0x04]
+0xff,0x0f,0x7c,0xe0,0x00,0x01,0x04,0x04
 
-# CHECK: s_brev_b32 vcc_lo, s1    ; encoding: [0x01,0x08,0xea,0xbe]
-0x01,0x08,0xea,0xbe
+# CHECK: buffer_store_dwordx4 v[1:4], off, s[96:99], s4 offset:4095    ; encoding: [0xff,0x0f,0x7c,0xe0,0x00,0x01,0x18,0x04]
+0xff,0x0f,0x7c,0xe0,0x00,0x01,0x18,0x04
 
-# CHECK: s_brev_b32 vcc_hi, s1    ; encoding: [0x01,0x08,0xeb,0xbe]
-0x01,0x08,0xeb,0xbe
+# CHECK: buffer_store_dwordx4 v[1:4], off, s[12:15], s101 offset:4095    ; encoding: [0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0x65]
+0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0x65
 
-# CHECK: s_brev_b32 m0, s1    ; encoding: [0x01,0x08,0xfc,0xbe]
-0x01,0x08,0xfc,0xbe
+# CHECK: buffer_store_dwordx4 v[1:4], off, s[12:15], m0 offset:4095    ; encoding: [0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0x7c]
+0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0x7c
 
-# CHECK: s_brev_b32 exec_lo, s1    ; encoding: [0x01,0x08,0xfe,0xbe]
-0x01,0x08,0xfe,0xbe
+# CHECK: buffer_store_dwordx4 v[1:4], off, s[12:15], 0 offset:4095    ; encoding: [0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0x80]
+0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0x80
 
-# CHECK: s_brev_b32 exec_hi, s1    ; encoding: [0x01,0x08,0xff,0xbe]
-0x01,0x08,0xff,0xbe
+# CHECK: buffer_store_dwordx4 v[1:4], off, s[12:15], -1 offset:4095    ; encoding: [0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0xc1]
+0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0xc1
 
-# CHECK: s_brev_b32 s5, s101    ; encoding: [0x65,0x08,0x85,0xbe]
-0x65,0x08,0x85,0xbe
+# CHECK: buffer_store_dwordx4 v[1:4], off, s[12:15], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0xf0]
+0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0xf0
 
-# CHECK: s_brev_b32 s5, flat_scratch_lo    ; encoding: [0x66,0x08,0x85,0xbe]
-0x66,0x08,0x85,0xbe
+# CHECK: buffer_store_dwordx4 v[1:4], off, s[12:15], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0xf7]
+0xff,0x0f,0x7c,0xe0,0x00,0x01,0x03,0xf7
 
-# CHECK: s_brev_b32 s5, flat_scratch_hi    ; encoding: [0x67,0x08,0x85,0xbe]
-0x67,0x08,0x85,0xbe
+# CHECK: buffer_store_dwordx4 v[1:4], v0, s[12:15], s4 idxen offset:4095    ; encoding: [0xff,0x2f,0x7c,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x2f,0x7c,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_brev_b32 s5, vcc_lo    ; encoding: [0x6a,0x08,0x85,0xbe]
-0x6a,0x08,0x85,0xbe
+# CHECK: buffer_store_dwordx4 v[1:4], v0, s[12:15], s4 offen offset:4095    ; encoding: [0xff,0x1f,0x7c,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x1f,0x7c,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_brev_b32 s5, vcc_hi    ; encoding: [0x6b,0x08,0x85,0xbe]
-0x6b,0x08,0x85,0xbe
+# CHECK: buffer_store_dwordx4 v[1:4], off, s[12:15], s4    ; encoding: [0x00,0x00,0x7c,0xe0,0x00,0x01,0x03,0x04]
+0x00,0x00,0x7c,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_brev_b32 s5, m0    ; encoding: [0x7c,0x08,0x85,0xbe]
-0x7c,0x08,0x85,0xbe
+# CHECK: buffer_store_dwordx4 v[1:4], off, s[12:15], s4 offset:7    ; encoding: [0x07,0x00,0x7c,0xe0,0x00,0x01,0x03,0x04]
+0x07,0x00,0x7c,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_brev_b32 s5, exec_lo    ; encoding: [0x7e,0x08,0x85,0xbe]
-0x7e,0x08,0x85,0xbe
+# CHECK: buffer_store_dwordx4 v[1:4], off, s[12:15], s4 offset:4095 glc    ; encoding: [0xff,0x4f,0x7c,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x4f,0x7c,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_brev_b32 s5, exec_hi    ; encoding: [0x7f,0x08,0x85,0xbe]
-0x7f,0x08,0x85,0xbe
+# CHECK: buffer_store_dwordx4 v[1:4], off, s[12:15], s4 offset:4095 slc    ; encoding: [0xff,0x0f,0x7e,0xe0,0x00,0x01,0x03,0x04]
+0xff,0x0f,0x7e,0xe0,0x00,0x01,0x03,0x04
 
-# CHECK: s_brev_b32 s5, 0    ; encoding: [0x80,0x08,0x85,0xbe]
-0x80,0x08,0x85,0xbe
+# CHECK: buffer_load_ubyte_d16 v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x80,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_brev_b32 s5, -1    ; encoding: [0xc1,0x08,0x85,0xbe]
-0xc1,0x08,0x85,0xbe
+# CHECK: buffer_load_ubyte_d16 v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe0,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x80,0xe0,0x00,0xff,0x02,0x03
 
-# CHECK: s_brev_b32 s5, 0.5    ; encoding: [0xf0,0x08,0x85,0xbe]
-0xf0,0x08,0x85,0xbe
+# CHECK: buffer_load_ubyte_d16 v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe0,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x80,0xe0,0x00,0x05,0x03,0x03
 
-# CHECK: s_brev_b32 s5, -4.0    ; encoding: [0xf7,0x08,0x85,0xbe]
-0xf7,0x08,0x85,0xbe
+# CHECK: buffer_load_ubyte_d16 v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe0,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x80,0xe0,0x00,0x05,0x18,0x03
 
-# CHECK: s_brev_b32 s5, 0xaf123456    ; encoding: [0xff,0x08,0x85,0xbe,0x56,0x34,0x12,0xaf]
-0xff,0x08,0x85,0xbe,0x56,0x34,0x12,0xaf
+# CHECK: buffer_load_ubyte_d16 v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe0,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x80,0xe0,0x00,0x05,0x02,0x65
 
-# CHECK: s_brev_b32 s5, 0x3f717273    ; encoding: [0xff,0x08,0x85,0xbe,0x73,0x72,0x71,0x3f]
-0xff,0x08,0x85,0xbe,0x73,0x72,0x71,0x3f
+# CHECK: buffer_load_ubyte_d16 v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe0,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x80,0xe0,0x00,0x05,0x02,0x7c
 
-# CHECK: s_brev_b64 s[10:11], s[2:3]    ; encoding: [0x02,0x09,0x8a,0xbe]
-0x02,0x09,0x8a,0xbe
+# CHECK: buffer_load_ubyte_d16 v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe0,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x80,0xe0,0x00,0x05,0x02,0x80
 
-# CHECK: s_brev_b64 s[12:13], s[2:3]    ; encoding: [0x02,0x09,0x8c,0xbe]
-0x02,0x09,0x8c,0xbe
+# CHECK: buffer_load_ubyte_d16 v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe0,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x80,0xe0,0x00,0x05,0x02,0xc1
 
-# CHECK: s_brev_b64 s[100:101], s[2:3]    ; encoding: [0x02,0x09,0xe4,0xbe]
-0x02,0x09,0xe4,0xbe
+# CHECK: buffer_load_ubyte_d16 v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe0,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x80,0xe0,0x00,0x05,0x02,0xf0
 
-# CHECK: s_brev_b64 flat_scratch, s[2:3]    ; encoding: [0x02,0x09,0xe6,0xbe]
-0x02,0x09,0xe6,0xbe
+# CHECK: buffer_load_ubyte_d16 v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe0,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x80,0xe0,0x00,0x05,0x02,0xf7
 
-# CHECK: s_brev_b64 vcc, s[2:3]    ; encoding: [0x02,0x09,0xea,0xbe]
-0x02,0x09,0xea,0xbe
+# CHECK: buffer_load_ubyte_d16 v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x80,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x80,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_brev_b64 exec, s[2:3]    ; encoding: [0x02,0x09,0xfe,0xbe]
-0x02,0x09,0xfe,0xbe
+# CHECK: buffer_load_ubyte_d16 v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x80,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x80,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_brev_b64 s[10:11], s[4:5]    ; encoding: [0x04,0x09,0x8a,0xbe]
-0x04,0x09,0x8a,0xbe
+# CHECK: buffer_load_ubyte_d16 v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x80,0xe0,0x00,0x05,0x02,0x03]
+0x00,0x00,0x80,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_brev_b64 s[10:11], s[100:101]    ; encoding: [0x64,0x09,0x8a,0xbe]
-0x64,0x09,0x8a,0xbe
+# CHECK: buffer_load_ubyte_d16 v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x80,0xe0,0x00,0x05,0x02,0x03]
+0x07,0x00,0x80,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_brev_b64 s[10:11], flat_scratch    ; encoding: [0x66,0x09,0x8a,0xbe]
-0x66,0x09,0x8a,0xbe
+# CHECK: buffer_load_ubyte_d16 v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x80,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x80,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_brev_b64 s[10:11], vcc    ; encoding: [0x6a,0x09,0x8a,0xbe]
-0x6a,0x09,0x8a,0xbe
+# CHECK: buffer_load_ubyte_d16 v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x82,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x82,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_brev_b64 s[10:11], exec    ; encoding: [0x7e,0x09,0x8a,0xbe]
-0x7e,0x09,0x8a,0xbe
+# CHECK: buffer_load_ubyte_d16_hi v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x84,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_brev_b64 s[10:11], 0    ; encoding: [0x80,0x09,0x8a,0xbe]
-0x80,0x09,0x8a,0xbe
+# CHECK: buffer_load_ubyte_d16_hi v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe0,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x84,0xe0,0x00,0xff,0x02,0x03
 
-# CHECK: s_brev_b64 s[10:11], -1    ; encoding: [0xc1,0x09,0x8a,0xbe]
-0xc1,0x09,0x8a,0xbe
+# CHECK: buffer_load_ubyte_d16_hi v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe0,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x84,0xe0,0x00,0x05,0x03,0x03
 
-# CHECK: s_brev_b64 s[10:11], 0.5    ; encoding: [0xf0,0x09,0x8a,0xbe]
-0xf0,0x09,0x8a,0xbe
+# CHECK: buffer_load_ubyte_d16_hi v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe0,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x84,0xe0,0x00,0x05,0x18,0x03
 
-# CHECK: s_brev_b64 s[10:11], -4.0    ; encoding: [0xf7,0x09,0x8a,0xbe]
-0xf7,0x09,0x8a,0xbe
+# CHECK: buffer_load_ubyte_d16_hi v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe0,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x84,0xe0,0x00,0x05,0x02,0x65
 
-# CHECK: s_brev_b64 s[10:11], 0xaf123456    ; encoding: [0xff,0x09,0x8a,0xbe,0x56,0x34,0x12,0xaf]
-0xff,0x09,0x8a,0xbe,0x56,0x34,0x12,0xaf
+# CHECK: buffer_load_ubyte_d16_hi v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe0,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x84,0xe0,0x00,0x05,0x02,0x7c
 
-# CHECK: s_brev_b64 s[10:11], 0x3f717273    ; encoding: [0xff,0x09,0x8a,0xbe,0x73,0x72,0x71,0x3f]
-0xff,0x09,0x8a,0xbe,0x73,0x72,0x71,0x3f
+# CHECK: buffer_load_ubyte_d16_hi v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe0,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x84,0xe0,0x00,0x05,0x02,0x80
 
-# CHECK: s_bcnt0_i32_b32 s5, s1    ; encoding: [0x01,0x0a,0x85,0xbe]
-0x01,0x0a,0x85,0xbe
+# CHECK: buffer_load_ubyte_d16_hi v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe0,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x84,0xe0,0x00,0x05,0x02,0xc1
 
-# CHECK: s_bcnt0_i32_b32 s101, s1    ; encoding: [0x01,0x0a,0xe5,0xbe]
-0x01,0x0a,0xe5,0xbe
+# CHECK: buffer_load_ubyte_d16_hi v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe0,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x84,0xe0,0x00,0x05,0x02,0xf0
 
-# CHECK: s_bcnt0_i32_b32 flat_scratch_lo, s1    ; encoding: [0x01,0x0a,0xe6,0xbe]
-0x01,0x0a,0xe6,0xbe
+# CHECK: buffer_load_ubyte_d16_hi v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe0,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x84,0xe0,0x00,0x05,0x02,0xf7
 
-# CHECK: s_bcnt0_i32_b32 flat_scratch_hi, s1    ; encoding: [0x01,0x0a,0xe7,0xbe]
-0x01,0x0a,0xe7,0xbe
+# CHECK: buffer_load_ubyte_d16_hi v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x84,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x84,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt0_i32_b32 vcc_lo, s1    ; encoding: [0x01,0x0a,0xea,0xbe]
-0x01,0x0a,0xea,0xbe
+# CHECK: buffer_load_ubyte_d16_hi v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x84,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x84,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt0_i32_b32 vcc_hi, s1    ; encoding: [0x01,0x0a,0xeb,0xbe]
-0x01,0x0a,0xeb,0xbe
+# CHECK: buffer_load_ubyte_d16_hi v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x84,0xe0,0x00,0x05,0x02,0x03]
+0x00,0x00,0x84,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt0_i32_b32 m0, s1    ; encoding: [0x01,0x0a,0xfc,0xbe]
-0x01,0x0a,0xfc,0xbe
+# CHECK: buffer_load_ubyte_d16_hi v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x84,0xe0,0x00,0x05,0x02,0x03]
+0x07,0x00,0x84,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt0_i32_b32 exec_lo, s1    ; encoding: [0x01,0x0a,0xfe,0xbe]
-0x01,0x0a,0xfe,0xbe
+# CHECK: buffer_load_ubyte_d16_hi v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x84,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x84,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt0_i32_b32 exec_hi, s1    ; encoding: [0x01,0x0a,0xff,0xbe]
-0x01,0x0a,0xff,0xbe
+# CHECK: buffer_load_ubyte_d16_hi v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x86,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x86,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt0_i32_b32 s5, s101    ; encoding: [0x65,0x0a,0x85,0xbe]
-0x65,0x0a,0x85,0xbe
+# CHECK: buffer_load_sbyte_d16 v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x88,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt0_i32_b32 s5, flat_scratch_lo    ; encoding: [0x66,0x0a,0x85,0xbe]
-0x66,0x0a,0x85,0xbe
+# CHECK: buffer_load_sbyte_d16 v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe0,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x88,0xe0,0x00,0xff,0x02,0x03
 
-# CHECK: s_bcnt0_i32_b32 s5, flat_scratch_hi    ; encoding: [0x67,0x0a,0x85,0xbe]
-0x67,0x0a,0x85,0xbe
+# CHECK: buffer_load_sbyte_d16 v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe0,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x88,0xe0,0x00,0x05,0x03,0x03
 
-# CHECK: s_bcnt0_i32_b32 s5, vcc_lo    ; encoding: [0x6a,0x0a,0x85,0xbe]
-0x6a,0x0a,0x85,0xbe
+# CHECK: buffer_load_sbyte_d16 v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe0,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x88,0xe0,0x00,0x05,0x18,0x03
 
-# CHECK: s_bcnt0_i32_b32 s5, vcc_hi    ; encoding: [0x6b,0x0a,0x85,0xbe]
-0x6b,0x0a,0x85,0xbe
+# CHECK: buffer_load_sbyte_d16 v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe0,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x88,0xe0,0x00,0x05,0x02,0x65
 
-# CHECK: s_bcnt0_i32_b32 s5, m0    ; encoding: [0x7c,0x0a,0x85,0xbe]
-0x7c,0x0a,0x85,0xbe
+# CHECK: buffer_load_sbyte_d16 v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe0,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x88,0xe0,0x00,0x05,0x02,0x7c
 
-# CHECK: s_bcnt0_i32_b32 s5, exec_lo    ; encoding: [0x7e,0x0a,0x85,0xbe]
-0x7e,0x0a,0x85,0xbe
+# CHECK: buffer_load_sbyte_d16 v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe0,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x88,0xe0,0x00,0x05,0x02,0x80
 
-# CHECK: s_bcnt0_i32_b32 s5, exec_hi    ; encoding: [0x7f,0x0a,0x85,0xbe]
-0x7f,0x0a,0x85,0xbe
+# CHECK: buffer_load_sbyte_d16 v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe0,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x88,0xe0,0x00,0x05,0x02,0xc1
 
-# CHECK: s_bcnt0_i32_b32 s5, 0    ; encoding: [0x80,0x0a,0x85,0xbe]
-0x80,0x0a,0x85,0xbe
+# CHECK: buffer_load_sbyte_d16 v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe0,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x88,0xe0,0x00,0x05,0x02,0xf0
 
-# CHECK: s_bcnt0_i32_b32 s5, -1    ; encoding: [0xc1,0x0a,0x85,0xbe]
-0xc1,0x0a,0x85,0xbe
+# CHECK: buffer_load_sbyte_d16 v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe0,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x88,0xe0,0x00,0x05,0x02,0xf7
 
-# CHECK: s_bcnt0_i32_b32 s5, 0.5    ; encoding: [0xf0,0x0a,0x85,0xbe]
-0xf0,0x0a,0x85,0xbe
+# CHECK: buffer_load_sbyte_d16 v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x88,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x88,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt0_i32_b32 s5, -4.0    ; encoding: [0xf7,0x0a,0x85,0xbe]
-0xf7,0x0a,0x85,0xbe
+# CHECK: buffer_load_sbyte_d16 v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x88,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x88,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt0_i32_b32 s5, 0xaf123456    ; encoding: [0xff,0x0a,0x85,0xbe,0x56,0x34,0x12,0xaf]
-0xff,0x0a,0x85,0xbe,0x56,0x34,0x12,0xaf
+# CHECK: buffer_load_sbyte_d16 v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x88,0xe0,0x00,0x05,0x02,0x03]
+0x00,0x00,0x88,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt0_i32_b32 s5, 0x3f717273    ; encoding: [0xff,0x0a,0x85,0xbe,0x73,0x72,0x71,0x3f]
-0xff,0x0a,0x85,0xbe,0x73,0x72,0x71,0x3f
+# CHECK: buffer_load_sbyte_d16 v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x88,0xe0,0x00,0x05,0x02,0x03]
+0x07,0x00,0x88,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt0_i32_b64 s5, s[2:3]    ; encoding: [0x02,0x0b,0x85,0xbe]
-0x02,0x0b,0x85,0xbe
+# CHECK: buffer_load_sbyte_d16 v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x88,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x88,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt0_i32_b64 s101, s[2:3]    ; encoding: [0x02,0x0b,0xe5,0xbe]
-0x02,0x0b,0xe5,0xbe
+# CHECK: buffer_load_sbyte_d16 v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x8a,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x8a,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt0_i32_b64 flat_scratch_lo, s[2:3]    ; encoding: [0x02,0x0b,0xe6,0xbe]
-0x02,0x0b,0xe6,0xbe
+# CHECK: buffer_load_sbyte_d16_hi v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x8c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt0_i32_b64 flat_scratch_hi, s[2:3]    ; encoding: [0x02,0x0b,0xe7,0xbe]
-0x02,0x0b,0xe7,0xbe
+# CHECK: buffer_load_sbyte_d16_hi v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe0,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x8c,0xe0,0x00,0xff,0x02,0x03
 
-# CHECK: s_bcnt0_i32_b64 vcc_lo, s[2:3]    ; encoding: [0x02,0x0b,0xea,0xbe]
-0x02,0x0b,0xea,0xbe
+# CHECK: buffer_load_sbyte_d16_hi v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe0,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x8c,0xe0,0x00,0x05,0x03,0x03
 
-# CHECK: s_bcnt0_i32_b64 vcc_hi, s[2:3]    ; encoding: [0x02,0x0b,0xeb,0xbe]
-0x02,0x0b,0xeb,0xbe
+# CHECK: buffer_load_sbyte_d16_hi v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe0,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x8c,0xe0,0x00,0x05,0x18,0x03
 
-# CHECK: s_bcnt0_i32_b64 m0, s[2:3]    ; encoding: [0x02,0x0b,0xfc,0xbe]
-0x02,0x0b,0xfc,0xbe
+# CHECK: buffer_load_sbyte_d16_hi v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe0,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x8c,0xe0,0x00,0x05,0x02,0x65
 
-# CHECK: s_bcnt0_i32_b64 exec_lo, s[2:3]    ; encoding: [0x02,0x0b,0xfe,0xbe]
-0x02,0x0b,0xfe,0xbe
+# CHECK: buffer_load_sbyte_d16_hi v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe0,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x8c,0xe0,0x00,0x05,0x02,0x7c
 
-# CHECK: s_bcnt0_i32_b64 exec_hi, s[2:3]    ; encoding: [0x02,0x0b,0xff,0xbe]
-0x02,0x0b,0xff,0xbe
+# CHECK: buffer_load_sbyte_d16_hi v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe0,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x8c,0xe0,0x00,0x05,0x02,0x80
 
-# CHECK: s_bcnt0_i32_b64 s5, s[4:5]    ; encoding: [0x04,0x0b,0x85,0xbe]
-0x04,0x0b,0x85,0xbe
+# CHECK: buffer_load_sbyte_d16_hi v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe0,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x8c,0xe0,0x00,0x05,0x02,0xc1
 
-# CHECK: s_bcnt0_i32_b64 s5, s[100:101]    ; encoding: [0x64,0x0b,0x85,0xbe]
-0x64,0x0b,0x85,0xbe
+# CHECK: buffer_load_sbyte_d16_hi v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe0,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x8c,0xe0,0x00,0x05,0x02,0xf0
 
-# CHECK: s_bcnt0_i32_b64 s5, flat_scratch    ; encoding: [0x66,0x0b,0x85,0xbe]
-0x66,0x0b,0x85,0xbe
+# CHECK: buffer_load_sbyte_d16_hi v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe0,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x8c,0xe0,0x00,0x05,0x02,0xf7
 
-# CHECK: s_bcnt0_i32_b64 s5, vcc    ; encoding: [0x6a,0x0b,0x85,0xbe]
-0x6a,0x0b,0x85,0xbe
+# CHECK: buffer_load_sbyte_d16_hi v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x8c,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x8c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt0_i32_b64 s5, exec    ; encoding: [0x7e,0x0b,0x85,0xbe]
-0x7e,0x0b,0x85,0xbe
+# CHECK: buffer_load_sbyte_d16_hi v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x8c,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x8c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt0_i32_b64 s5, 0    ; encoding: [0x80,0x0b,0x85,0xbe]
-0x80,0x0b,0x85,0xbe
+# CHECK: buffer_load_sbyte_d16_hi v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x8c,0xe0,0x00,0x05,0x02,0x03]
+0x00,0x00,0x8c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt0_i32_b64 s5, -1    ; encoding: [0xc1,0x0b,0x85,0xbe]
-0xc1,0x0b,0x85,0xbe
+# CHECK: buffer_load_sbyte_d16_hi v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x8c,0xe0,0x00,0x05,0x02,0x03]
+0x07,0x00,0x8c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt0_i32_b64 s5, 0.5    ; encoding: [0xf0,0x0b,0x85,0xbe]
-0xf0,0x0b,0x85,0xbe
+# CHECK: buffer_load_sbyte_d16_hi v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x8c,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x8c,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt0_i32_b64 s5, -4.0    ; encoding: [0xf7,0x0b,0x85,0xbe]
-0xf7,0x0b,0x85,0xbe
+# CHECK: buffer_load_sbyte_d16_hi v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x8e,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x8e,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt0_i32_b64 s5, 0xaf123456    ; encoding: [0xff,0x0b,0x85,0xbe,0x56,0x34,0x12,0xaf]
-0xff,0x0b,0x85,0xbe,0x56,0x34,0x12,0xaf
+# CHECK: buffer_load_short_d16 v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x90,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt0_i32_b64 s5, 0x3f717273    ; encoding: [0xff,0x0b,0x85,0xbe,0x73,0x72,0x71,0x3f]
-0xff,0x0b,0x85,0xbe,0x73,0x72,0x71,0x3f
+# CHECK: buffer_load_short_d16 v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe0,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x90,0xe0,0x00,0xff,0x02,0x03
 
-# CHECK: s_bcnt1_i32_b32 s5, s1    ; encoding: [0x01,0x0c,0x85,0xbe]
-0x01,0x0c,0x85,0xbe
+# CHECK: buffer_load_short_d16 v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe0,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x90,0xe0,0x00,0x05,0x03,0x03
 
-# CHECK: s_bcnt1_i32_b32 s101, s1    ; encoding: [0x01,0x0c,0xe5,0xbe]
-0x01,0x0c,0xe5,0xbe
+# CHECK: buffer_load_short_d16 v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe0,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x90,0xe0,0x00,0x05,0x18,0x03
 
-# CHECK: s_bcnt1_i32_b32 flat_scratch_lo, s1    ; encoding: [0x01,0x0c,0xe6,0xbe]
-0x01,0x0c,0xe6,0xbe
+# CHECK: buffer_load_short_d16 v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe0,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x90,0xe0,0x00,0x05,0x02,0x65
 
-# CHECK: s_bcnt1_i32_b32 flat_scratch_hi, s1    ; encoding: [0x01,0x0c,0xe7,0xbe]
-0x01,0x0c,0xe7,0xbe
+# CHECK: buffer_load_short_d16 v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe0,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x90,0xe0,0x00,0x05,0x02,0x7c
 
-# CHECK: s_bcnt1_i32_b32 vcc_lo, s1    ; encoding: [0x01,0x0c,0xea,0xbe]
-0x01,0x0c,0xea,0xbe
+# CHECK: buffer_load_short_d16 v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe0,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x90,0xe0,0x00,0x05,0x02,0x80
 
-# CHECK: s_bcnt1_i32_b32 vcc_hi, s1    ; encoding: [0x01,0x0c,0xeb,0xbe]
-0x01,0x0c,0xeb,0xbe
+# CHECK: buffer_load_short_d16 v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe0,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x90,0xe0,0x00,0x05,0x02,0xc1
 
-# CHECK: s_bcnt1_i32_b32 m0, s1    ; encoding: [0x01,0x0c,0xfc,0xbe]
-0x01,0x0c,0xfc,0xbe
+# CHECK: buffer_load_short_d16 v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe0,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x90,0xe0,0x00,0x05,0x02,0xf0
 
-# CHECK: s_bcnt1_i32_b32 exec_lo, s1    ; encoding: [0x01,0x0c,0xfe,0xbe]
-0x01,0x0c,0xfe,0xbe
+# CHECK: buffer_load_short_d16 v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe0,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x90,0xe0,0x00,0x05,0x02,0xf7
 
-# CHECK: s_bcnt1_i32_b32 exec_hi, s1    ; encoding: [0x01,0x0c,0xff,0xbe]
-0x01,0x0c,0xff,0xbe
+# CHECK: buffer_load_short_d16 v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x90,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x90,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt1_i32_b32 s5, s101    ; encoding: [0x65,0x0c,0x85,0xbe]
-0x65,0x0c,0x85,0xbe
+# CHECK: buffer_load_short_d16 v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x90,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x90,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt1_i32_b32 s5, flat_scratch_lo    ; encoding: [0x66,0x0c,0x85,0xbe]
-0x66,0x0c,0x85,0xbe
+# CHECK: buffer_load_short_d16 v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x90,0xe0,0x00,0x05,0x02,0x03]
+0x00,0x00,0x90,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt1_i32_b32 s5, flat_scratch_hi    ; encoding: [0x67,0x0c,0x85,0xbe]
-0x67,0x0c,0x85,0xbe
+# CHECK: buffer_load_short_d16 v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x90,0xe0,0x00,0x05,0x02,0x03]
+0x07,0x00,0x90,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt1_i32_b32 s5, vcc_lo    ; encoding: [0x6a,0x0c,0x85,0xbe]
-0x6a,0x0c,0x85,0xbe
+# CHECK: buffer_load_short_d16 v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x90,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x90,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt1_i32_b32 s5, vcc_hi    ; encoding: [0x6b,0x0c,0x85,0xbe]
-0x6b,0x0c,0x85,0xbe
+# CHECK: buffer_load_short_d16 v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x92,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x92,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt1_i32_b32 s5, m0    ; encoding: [0x7c,0x0c,0x85,0xbe]
-0x7c,0x0c,0x85,0xbe
+# CHECK: buffer_load_short_d16_hi v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x94,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt1_i32_b32 s5, exec_lo    ; encoding: [0x7e,0x0c,0x85,0xbe]
-0x7e,0x0c,0x85,0xbe
+# CHECK: buffer_load_short_d16_hi v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe0,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x94,0xe0,0x00,0xff,0x02,0x03
 
-# CHECK: s_bcnt1_i32_b32 s5, exec_hi    ; encoding: [0x7f,0x0c,0x85,0xbe]
-0x7f,0x0c,0x85,0xbe
+# CHECK: buffer_load_short_d16_hi v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe0,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x94,0xe0,0x00,0x05,0x03,0x03
 
-# CHECK: s_bcnt1_i32_b32 s5, 0    ; encoding: [0x80,0x0c,0x85,0xbe]
-0x80,0x0c,0x85,0xbe
+# CHECK: buffer_load_short_d16_hi v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe0,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x94,0xe0,0x00,0x05,0x18,0x03
 
-# CHECK: s_bcnt1_i32_b32 s5, -1    ; encoding: [0xc1,0x0c,0x85,0xbe]
-0xc1,0x0c,0x85,0xbe
+# CHECK: buffer_load_short_d16_hi v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe0,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x94,0xe0,0x00,0x05,0x02,0x65
 
-# CHECK: s_bcnt1_i32_b32 s5, 0.5    ; encoding: [0xf0,0x0c,0x85,0xbe]
-0xf0,0x0c,0x85,0xbe
+# CHECK: buffer_load_short_d16_hi v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe0,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x94,0xe0,0x00,0x05,0x02,0x7c
 
-# CHECK: s_bcnt1_i32_b32 s5, -4.0    ; encoding: [0xf7,0x0c,0x85,0xbe]
-0xf7,0x0c,0x85,0xbe
+# CHECK: buffer_load_short_d16_hi v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe0,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x94,0xe0,0x00,0x05,0x02,0x80
 
-# CHECK: s_bcnt1_i32_b32 s5, 0xaf123456    ; encoding: [0xff,0x0c,0x85,0xbe,0x56,0x34,0x12,0xaf]
-0xff,0x0c,0x85,0xbe,0x56,0x34,0x12,0xaf
+# CHECK: buffer_load_short_d16_hi v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe0,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x94,0xe0,0x00,0x05,0x02,0xc1
 
-# CHECK: s_bcnt1_i32_b32 s5, 0x3f717273    ; encoding: [0xff,0x0c,0x85,0xbe,0x73,0x72,0x71,0x3f]
-0xff,0x0c,0x85,0xbe,0x73,0x72,0x71,0x3f
+# CHECK: buffer_load_short_d16_hi v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe0,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x94,0xe0,0x00,0x05,0x02,0xf0
 
-# CHECK: s_bcnt1_i32_b64 s5, s[2:3]    ; encoding: [0x02,0x0d,0x85,0xbe]
-0x02,0x0d,0x85,0xbe
+# CHECK: buffer_load_short_d16_hi v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe0,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x94,0xe0,0x00,0x05,0x02,0xf7
 
-# CHECK: s_bcnt1_i32_b64 s101, s[2:3]    ; encoding: [0x02,0x0d,0xe5,0xbe]
-0x02,0x0d,0xe5,0xbe
+# CHECK: buffer_load_short_d16_hi v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x94,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x94,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt1_i32_b64 flat_scratch_lo, s[2:3]    ; encoding: [0x02,0x0d,0xe6,0xbe]
-0x02,0x0d,0xe6,0xbe
+# CHECK: buffer_load_short_d16_hi v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x94,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x94,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt1_i32_b64 flat_scratch_hi, s[2:3]    ; encoding: [0x02,0x0d,0xe7,0xbe]
-0x02,0x0d,0xe7,0xbe
+# CHECK: buffer_load_short_d16_hi v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x94,0xe0,0x00,0x05,0x02,0x03]
+0x00,0x00,0x94,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt1_i32_b64 vcc_lo, s[2:3]    ; encoding: [0x02,0x0d,0xea,0xbe]
-0x02,0x0d,0xea,0xbe
+# CHECK: buffer_load_short_d16_hi v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x94,0xe0,0x00,0x05,0x02,0x03]
+0x07,0x00,0x94,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt1_i32_b64 vcc_hi, s[2:3]    ; encoding: [0x02,0x0d,0xeb,0xbe]
-0x02,0x0d,0xeb,0xbe
+# CHECK: buffer_load_short_d16_hi v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x94,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x94,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt1_i32_b64 m0, s[2:3]    ; encoding: [0x02,0x0d,0xfc,0xbe]
-0x02,0x0d,0xfc,0xbe
+# CHECK: buffer_load_short_d16_hi v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x96,0xe0,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x96,0xe0,0x00,0x05,0x02,0x03
 
-# CHECK: s_bcnt1_i32_b64 exec_lo, s[2:3]    ; encoding: [0x02,0x0d,0xfe,0xbe]
-0x02,0x0d,0xfe,0xbe
+# CHECK: buffer_wbinvl1    ; encoding: [0x00,0x00,0xf8,0xe0,0x00,0x00,0x00,0x00]
+0x00,0x00,0xf8,0xe0,0x00,0x00,0x00,0x00
+
+# CHECK: buffer_wbinvl1_vol    ; encoding: [0x00,0x00,0xfc,0xe0,0x00,0x00,0x00,0x00]
+0x00,0x00,0xfc,0xe0,0x00,0x00,0x00,0x00
+
+# CHECK: buffer_atomic_swap v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_swap v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe1,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x00,0xe1,0x00,0xff,0x02,0x03
+
+# CHECK: buffer_atomic_swap v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x00,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_swap v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x00,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_swap v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_swap v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_swap v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_swap v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_swap v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_swap v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x00,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_swap v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x00,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x00,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_swap v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x00,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x00,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_swap v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x00,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0x00,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_swap v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x00,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0x00,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_swap v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x00,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x00,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_swap v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x02,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x02,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_cmpswap v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_cmpswap v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe1,0x00,0xfe,0x02,0x03]
+0xff,0x0f,0x04,0xe1,0x00,0xfe,0x02,0x03
+
+# CHECK: buffer_atomic_cmpswap v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x04,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_cmpswap v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x04,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_cmpswap v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_cmpswap v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_cmpswap v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_cmpswap v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_cmpswap v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_cmpswap v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x04,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_cmpswap v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x04,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x04,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_cmpswap v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x04,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x04,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_cmpswap v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0x04,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0x04,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_cmpswap v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x04,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0x04,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_cmpswap v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x04,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x04,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_cmpswap v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x06,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x06,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_add v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_add v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe1,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x08,0xe1,0x00,0xff,0x02,0x03
+
+# CHECK: buffer_atomic_add v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x08,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_add v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x08,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_add v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_add v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_add v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_add v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_add v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_add v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x08,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_add v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x08,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x08,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_add v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x08,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x08,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_add v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x08,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0x08,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_add v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x08,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0x08,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_add v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x08,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x08,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_add v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x0a,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x0a,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_sub v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_sub v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe1,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x0c,0xe1,0x00,0xff,0x02,0x03
+
+# CHECK: buffer_atomic_sub v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x0c,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_sub v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x0c,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_sub v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_sub v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_sub v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_sub v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_sub v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_sub v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x0c,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_sub v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x0c,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x0c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_sub v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x0c,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x0c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_sub v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x0c,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0x0c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_sub v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x0c,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0x0c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_sub v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x0c,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x0c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_sub v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x0e,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x0e,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smin v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smin v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe1,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x10,0xe1,0x00,0xff,0x02,0x03
+
+# CHECK: buffer_atomic_smin v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x10,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_smin v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x10,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_smin v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_smin v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_smin v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_smin v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_smin v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_smin v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x10,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_smin v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x10,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x10,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smin v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x10,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x10,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smin v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x10,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0x10,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smin v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x10,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0x10,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smin v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x10,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x10,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smin v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x12,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x12,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umin v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umin v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe1,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x14,0xe1,0x00,0xff,0x02,0x03
+
+# CHECK: buffer_atomic_umin v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x14,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_umin v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x14,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_umin v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_umin v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_umin v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_umin v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_umin v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_umin v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x14,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_umin v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x14,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x14,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umin v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x14,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x14,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umin v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x14,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0x14,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umin v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x14,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0x14,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umin v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x14,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x14,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umin v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x16,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x16,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smax v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smax v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe1,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x18,0xe1,0x00,0xff,0x02,0x03
+
+# CHECK: buffer_atomic_smax v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x18,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_smax v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x18,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_smax v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_smax v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_smax v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_smax v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_smax v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_smax v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x18,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_smax v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x18,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x18,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smax v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x18,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x18,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smax v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x18,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0x18,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smax v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x18,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0x18,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smax v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x18,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x18,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smax v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x1a,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x1a,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umax v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umax v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe1,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x1c,0xe1,0x00,0xff,0x02,0x03
+
+# CHECK: buffer_atomic_umax v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x1c,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_umax v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x1c,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_umax v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_umax v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_umax v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_umax v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_umax v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_umax v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x1c,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_umax v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x1c,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x1c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umax v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x1c,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x1c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umax v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x1c,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0x1c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umax v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x1c,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0x1c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umax v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x1c,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x1c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umax v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x1e,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x1e,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_and v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_and v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe1,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x20,0xe1,0x00,0xff,0x02,0x03
+
+# CHECK: buffer_atomic_and v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x20,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_and v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x20,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_and v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_and v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_and v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_and v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_and v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_and v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x20,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_and v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x20,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x20,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_and v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x20,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x20,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_and v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x20,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0x20,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_and v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x20,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0x20,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_and v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x20,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x20,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_and v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x22,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x22,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_or v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_or v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe1,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x24,0xe1,0x00,0xff,0x02,0x03
+
+# CHECK: buffer_atomic_or v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x24,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_or v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x24,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_or v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_or v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_or v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_or v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_or v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_or v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x24,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_or v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x24,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x24,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_or v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x24,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x24,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_or v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x24,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0x24,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_or v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x24,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0x24,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_or v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x24,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x24,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_or v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x26,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x26,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_xor v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_xor v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe1,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x28,0xe1,0x00,0xff,0x02,0x03
+
+# CHECK: buffer_atomic_xor v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x28,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_xor v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x28,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_xor v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_xor v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_xor v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_xor v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_xor v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_xor v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x28,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_xor v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x28,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x28,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_xor v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x28,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x28,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_xor v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x28,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0x28,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_xor v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x28,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0x28,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_xor v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x28,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x28,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_xor v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x2a,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x2a,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_inc v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_inc v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe1,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x2c,0xe1,0x00,0xff,0x02,0x03
+
+# CHECK: buffer_atomic_inc v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x2c,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_inc v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x2c,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_inc v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_inc v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_inc v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_inc v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_inc v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_inc v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x2c,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_inc v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x2c,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x2c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_inc v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x2c,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x2c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_inc v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x2c,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0x2c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_inc v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x2c,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0x2c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_inc v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x2c,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x2c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_inc v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x2e,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x2e,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_dec v5, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_dec v255, off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe1,0x00,0xff,0x02,0x03]
+0xff,0x0f,0x30,0xe1,0x00,0xff,0x02,0x03
+
+# CHECK: buffer_atomic_dec v5, off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x30,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_dec v5, off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x30,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_dec v5, off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_dec v5, off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_dec v5, off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_dec v5, off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_dec v5, off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_dec v5, off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x30,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_dec v5, v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x30,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x30,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_dec v5, v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x30,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x30,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_dec v5, off, s[8:11], s3    ; encoding: [0x00,0x00,0x30,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0x30,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_dec v5, off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x30,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0x30,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_dec v5, off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x30,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x30,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_dec v5, off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x32,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x32,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_swap_x2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe1,0x00,0xfe,0x02,0x03]
+0xff,0x0f,0x80,0xe1,0x00,0xfe,0x02,0x03
+
+# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x80,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x80,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x80,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_swap_x2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x80,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x80,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_swap_x2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x80,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x80,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0x80,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0x80,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x80,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0x80,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x80,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x80,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_swap_x2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x82,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x82,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_cmpswap_x2 v[252:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe1,0x00,0xfc,0x02,0x03]
+0xff,0x0f,0x84,0xe1,0x00,0xfc,0x02,0x03
+
+# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x84,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x84,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x84,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_cmpswap_x2 v[5:8], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x84,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x84,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_cmpswap_x2 v[5:8], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x84,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x84,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[8:11], s3    ; encoding: [0x00,0x00,0x84,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0x84,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x84,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0x84,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x84,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x84,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_cmpswap_x2 v[5:8], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x86,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x86,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_add_x2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_add_x2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe1,0x00,0xfe,0x02,0x03]
+0xff,0x0f,0x88,0xe1,0x00,0xfe,0x02,0x03
+
+# CHECK: buffer_atomic_add_x2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x88,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_add_x2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x88,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_add_x2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_add_x2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_add_x2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_add_x2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_add_x2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_add_x2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x88,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_add_x2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x88,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x88,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_add_x2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x88,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x88,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_add_x2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0x88,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0x88,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_add_x2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x88,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0x88,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_add_x2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x88,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x88,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_add_x2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x8a,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x8a,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_sub_x2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe1,0x00,0xfe,0x02,0x03]
+0xff,0x0f,0x8c,0xe1,0x00,0xfe,0x02,0x03
+
+# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x8c,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x8c,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x8c,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_sub_x2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x8c,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x8c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_sub_x2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x8c,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x8c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0x8c,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0x8c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x8c,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0x8c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x8c,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x8c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_sub_x2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x8e,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x8e,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smin_x2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe1,0x00,0xfe,0x02,0x03]
+0xff,0x0f,0x90,0xe1,0x00,0xfe,0x02,0x03
+
+# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x90,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x90,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x90,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_smin_x2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x90,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x90,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smin_x2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x90,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x90,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0x90,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0x90,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x90,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0x90,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x90,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x90,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smin_x2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x92,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x92,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umin_x2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe1,0x00,0xfe,0x02,0x03]
+0xff,0x0f,0x94,0xe1,0x00,0xfe,0x02,0x03
+
+# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x94,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x94,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x94,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_umin_x2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x94,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x94,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umin_x2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x94,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x94,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0x94,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0x94,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x94,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0x94,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x94,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x94,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umin_x2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x96,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x96,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smax_x2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x98,0xe1,0x00,0xfe,0x02,0x03]
+0xff,0x0f,0x98,0xe1,0x00,0xfe,0x02,0x03
+
+# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x98,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x98,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x98,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x98,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x98,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_smax_x2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x98,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x98,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smax_x2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x98,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x98,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0x98,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0x98,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x98,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0x98,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x98,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x98,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_smax_x2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x9a,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x9a,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umax_x2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0x9c,0xe1,0x00,0xfe,0x02,0x03]
+0xff,0x0f,0x9c,0xe1,0x00,0xfe,0x02,0x03
+
+# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0x9c,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0x9c,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0x9c,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0x9c,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0x9c,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_umax_x2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0x9c,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0x9c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umax_x2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0x9c,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0x9c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0x9c,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0x9c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0x9c,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0x9c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0x9c,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0x9c,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_umax_x2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0x9e,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0x9e,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_and_x2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_and_x2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0xa0,0xe1,0x00,0xfe,0x02,0x03]
+0xff,0x0f,0xa0,0xe1,0x00,0xfe,0x02,0x03
+
+# CHECK: buffer_atomic_and_x2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0xa0,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0xa0,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_and_x2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0xa0,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0xa0,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_and_x2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_and_x2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_and_x2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_and_x2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_and_x2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_and_x2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0xa0,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_and_x2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0xa0,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0xa0,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_and_x2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0xa0,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0xa0,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_and_x2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0xa0,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0xa0,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_and_x2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0xa0,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0xa0,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_and_x2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0xa0,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0xa0,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_and_x2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0xa2,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0xa2,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_or_x2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_or_x2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0xa4,0xe1,0x00,0xfe,0x02,0x03]
+0xff,0x0f,0xa4,0xe1,0x00,0xfe,0x02,0x03
+
+# CHECK: buffer_atomic_or_x2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0xa4,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0xa4,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_or_x2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0xa4,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0xa4,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_or_x2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_or_x2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_or_x2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_or_x2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_or_x2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_or_x2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0xa4,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_or_x2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0xa4,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0xa4,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_or_x2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0xa4,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0xa4,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_or_x2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0xa4,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0xa4,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_or_x2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0xa4,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0xa4,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_or_x2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0xa4,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0xa4,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_or_x2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0xa6,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0xa6,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_xor_x2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0xa8,0xe1,0x00,0xfe,0x02,0x03]
+0xff,0x0f,0xa8,0xe1,0x00,0xfe,0x02,0x03
+
+# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0xa8,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0xa8,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0xa8,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0xa8,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0xa8,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_xor_x2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0xa8,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0xa8,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_xor_x2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0xa8,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0xa8,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0xa8,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0xa8,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0xa8,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0xa8,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0xa8,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0xa8,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_xor_x2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0xaa,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0xaa,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_inc_x2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0xac,0xe1,0x00,0xfe,0x02,0x03]
+0xff,0x0f,0xac,0xe1,0x00,0xfe,0x02,0x03
+
+# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0xac,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0xac,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0xac,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0xac,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0xac,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_inc_x2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0xac,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0xac,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_inc_x2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0xac,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0xac,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0xac,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0xac,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0xac,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0xac,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0xac,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0xac,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_inc_x2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0xae,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0xae,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_dec_x2 v[254:255], off, s[8:11], s3 offset:4095    ; encoding: [0xff,0x0f,0xb0,0xe1,0x00,0xfe,0x02,0x03]
+0xff,0x0f,0xb0,0xe1,0x00,0xfe,0x02,0x03
+
+# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[12:15], s3 offset:4095    ; encoding: [0xff,0x0f,0xb0,0xe1,0x00,0x05,0x03,0x03]
+0xff,0x0f,0xb0,0xe1,0x00,0x05,0x03,0x03
+
+# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[96:99], s3 offset:4095    ; encoding: [0xff,0x0f,0xb0,0xe1,0x00,0x05,0x18,0x03]
+0xff,0x0f,0xb0,0xe1,0x00,0x05,0x18,0x03
+
+# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[8:11], s101 offset:4095    ; encoding: [0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0x65]
+0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0x65
+
+# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[8:11], m0 offset:4095    ; encoding: [0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0x7c]
+0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0x7c
+
+# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[8:11], 0 offset:4095    ; encoding: [0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0x80]
+0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0x80
+
+# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[8:11], -1 offset:4095    ; encoding: [0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0xc1]
+0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0xc1
+
+# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[8:11], 0.5 offset:4095    ; encoding: [0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0xf0]
+0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0xf0
+
+# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[8:11], -4.0 offset:4095    ; encoding: [0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0xf7]
+0xff,0x0f,0xb0,0xe1,0x00,0x05,0x02,0xf7
+
+# CHECK: buffer_atomic_dec_x2 v[5:6], v0, s[8:11], s3 idxen offset:4095    ; encoding: [0xff,0x2f,0xb0,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x2f,0xb0,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_dec_x2 v[5:6], v0, s[8:11], s3 offen offset:4095    ; encoding: [0xff,0x1f,0xb0,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x1f,0xb0,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[8:11], s3    ; encoding: [0x00,0x00,0xb0,0xe1,0x00,0x05,0x02,0x03]
+0x00,0x00,0xb0,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[8:11], s3 offset:7    ; encoding: [0x07,0x00,0xb0,0xe1,0x00,0x05,0x02,0x03]
+0x07,0x00,0xb0,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[8:11], s3 offset:4095 glc    ; encoding: [0xff,0x4f,0xb0,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x4f,0xb0,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: buffer_atomic_dec_x2 v[5:6], off, s[8:11], s3 offset:4095 slc    ; encoding: [0xff,0x0f,0xb2,0xe1,0x00,0x05,0x02,0x03]
+0xff,0x0f,0xb2,0xe1,0x00,0x05,0x02,0x03
+
+# CHECK: s_load_dword s5, s[2:3], s0    ; encoding: [0x41,0x01,0x00,0xc0,0x00,0x00,0x00,0x00]
+0x41,0x01,0x00,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dword s101, s[2:3], s0    ; encoding: [0x41,0x19,0x00,0xc0,0x00,0x00,0x00,0x00]
+0x41,0x19,0x00,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dword flat_scratch_lo, s[2:3], s0    ; encoding: [0x81,0x19,0x00,0xc0,0x00,0x00,0x00,0x00]
+0x81,0x19,0x00,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dword flat_scratch_hi, s[2:3], s0    ; encoding: [0xc1,0x19,0x00,0xc0,0x00,0x00,0x00,0x00]
+0xc1,0x19,0x00,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dword vcc_lo, s[2:3], s0    ; encoding: [0x81,0x1a,0x00,0xc0,0x00,0x00,0x00,0x00]
+0x81,0x1a,0x00,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dword vcc_hi, s[2:3], s0    ; encoding: [0xc1,0x1a,0x00,0xc0,0x00,0x00,0x00,0x00]
+0xc1,0x1a,0x00,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dword s5, s[4:5], s0    ; encoding: [0x42,0x01,0x00,0xc0,0x00,0x00,0x00,0x00]
+0x42,0x01,0x00,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dword s5, s[100:101], s0    ; encoding: [0x72,0x01,0x00,0xc0,0x00,0x00,0x00,0x00]
+0x72,0x01,0x00,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dword s5, flat_scratch, s0    ; encoding: [0x73,0x01,0x00,0xc0,0x00,0x00,0x00,0x00]
+0x73,0x01,0x00,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dword s5, vcc, s0    ; encoding: [0x75,0x01,0x00,0xc0,0x00,0x00,0x00,0x00]
+0x75,0x01,0x00,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dword s5, s[2:3], s101    ; encoding: [0x41,0x01,0x00,0xc0,0x65,0x00,0x00,0x00]
+0x41,0x01,0x00,0xc0,0x65,0x00,0x00,0x00
+
+# CHECK: s_load_dword s5, s[2:3], flat_scratch_lo    ; encoding: [0x41,0x01,0x00,0xc0,0x66,0x00,0x00,0x00]
+0x41,0x01,0x00,0xc0,0x66,0x00,0x00,0x00
+
+# CHECK: s_load_dword s5, s[2:3], flat_scratch_hi    ; encoding: [0x41,0x01,0x00,0xc0,0x67,0x00,0x00,0x00]
+0x41,0x01,0x00,0xc0,0x67,0x00,0x00,0x00
+
+# CHECK: s_load_dword s5, s[2:3], vcc_lo    ; encoding: [0x41,0x01,0x00,0xc0,0x6a,0x00,0x00,0x00]
+0x41,0x01,0x00,0xc0,0x6a,0x00,0x00,0x00
+
+# CHECK: s_load_dword s5, s[2:3], vcc_hi    ; encoding: [0x41,0x01,0x00,0xc0,0x6b,0x00,0x00,0x00]
+0x41,0x01,0x00,0xc0,0x6b,0x00,0x00,0x00
+
+# CHECK: s_load_dword s5, s[2:3], m0    ; encoding: [0x41,0x01,0x00,0xc0,0x7c,0x00,0x00,0x00]
+0x41,0x01,0x00,0xc0,0x7c,0x00,0x00,0x00
+
+# CHECK: s_load_dword s5, s[2:3], 0x0    ; encoding: [0x41,0x01,0x02,0xc0,0x00,0x00,0x00,0x00]
+0x41,0x01,0x02,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dword s5, s[2:3], s0 glc    ; encoding: [0x41,0x01,0x01,0xc0,0x00,0x00,0x00,0x00]
+0x41,0x01,0x01,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx2 s[10:11], s[2:3], s0    ; encoding: [0x81,0x02,0x04,0xc0,0x00,0x00,0x00,0x00]
+0x81,0x02,0x04,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx2 s[12:13], s[2:3], s0    ; encoding: [0x01,0x03,0x04,0xc0,0x00,0x00,0x00,0x00]
+0x01,0x03,0x04,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx2 s[100:101], s[2:3], s0    ; encoding: [0x01,0x19,0x04,0xc0,0x00,0x00,0x00,0x00]
+0x01,0x19,0x04,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx2 flat_scratch, s[2:3], s0    ; encoding: [0x81,0x19,0x04,0xc0,0x00,0x00,0x00,0x00]
+0x81,0x19,0x04,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx2 vcc, s[2:3], s0    ; encoding: [0x81,0x1a,0x04,0xc0,0x00,0x00,0x00,0x00]
+0x81,0x1a,0x04,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx2 s[10:11], s[4:5], s0    ; encoding: [0x82,0x02,0x04,0xc0,0x00,0x00,0x00,0x00]
+0x82,0x02,0x04,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx2 s[10:11], s[100:101], s0    ; encoding: [0xb2,0x02,0x04,0xc0,0x00,0x00,0x00,0x00]
+0xb2,0x02,0x04,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx2 s[10:11], flat_scratch, s0    ; encoding: [0xb3,0x02,0x04,0xc0,0x00,0x00,0x00,0x00]
+0xb3,0x02,0x04,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx2 s[10:11], vcc, s0    ; encoding: [0xb5,0x02,0x04,0xc0,0x00,0x00,0x00,0x00]
+0xb5,0x02,0x04,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx2 s[10:11], s[2:3], s101    ; encoding: [0x81,0x02,0x04,0xc0,0x65,0x00,0x00,0x00]
+0x81,0x02,0x04,0xc0,0x65,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx2 s[10:11], s[2:3], flat_scratch_lo    ; encoding: [0x81,0x02,0x04,0xc0,0x66,0x00,0x00,0x00]
+0x81,0x02,0x04,0xc0,0x66,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx2 s[10:11], s[2:3], flat_scratch_hi    ; encoding: [0x81,0x02,0x04,0xc0,0x67,0x00,0x00,0x00]
+0x81,0x02,0x04,0xc0,0x67,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx2 s[10:11], s[2:3], vcc_lo    ; encoding: [0x81,0x02,0x04,0xc0,0x6a,0x00,0x00,0x00]
+0x81,0x02,0x04,0xc0,0x6a,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx2 s[10:11], s[2:3], vcc_hi    ; encoding: [0x81,0x02,0x04,0xc0,0x6b,0x00,0x00,0x00]
+0x81,0x02,0x04,0xc0,0x6b,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx2 s[10:11], s[2:3], m0    ; encoding: [0x81,0x02,0x04,0xc0,0x7c,0x00,0x00,0x00]
+0x81,0x02,0x04,0xc0,0x7c,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx2 s[10:11], s[2:3], 0x0    ; encoding: [0x81,0x02,0x06,0xc0,0x00,0x00,0x00,0x00]
+0x81,0x02,0x06,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx2 s[10:11], s[2:3], s0 glc    ; encoding: [0x81,0x02,0x05,0xc0,0x00,0x00,0x00,0x00]
+0x81,0x02,0x05,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx4 s[20:23], s[2:3], s0    ; encoding: [0x01,0x05,0x08,0xc0,0x00,0x00,0x00,0x00]
+0x01,0x05,0x08,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx4 s[24:27], s[2:3], s0    ; encoding: [0x01,0x06,0x08,0xc0,0x00,0x00,0x00,0x00]
+0x01,0x06,0x08,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx4 s[96:99], s[2:3], s0    ; encoding: [0x01,0x18,0x08,0xc0,0x00,0x00,0x00,0x00]
+0x01,0x18,0x08,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx4 s[20:23], s[4:5], s0    ; encoding: [0x02,0x05,0x08,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x05,0x08,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx4 s[20:23], s[100:101], s0    ; encoding: [0x32,0x05,0x08,0xc0,0x00,0x00,0x00,0x00]
+0x32,0x05,0x08,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx4 s[20:23], flat_scratch, s0    ; encoding: [0x33,0x05,0x08,0xc0,0x00,0x00,0x00,0x00]
+0x33,0x05,0x08,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx4 s[20:23], vcc, s0    ; encoding: [0x35,0x05,0x08,0xc0,0x00,0x00,0x00,0x00]
+0x35,0x05,0x08,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx4 s[20:23], s[2:3], s101    ; encoding: [0x01,0x05,0x08,0xc0,0x65,0x00,0x00,0x00]
+0x01,0x05,0x08,0xc0,0x65,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx4 s[20:23], s[2:3], flat_scratch_lo    ; encoding: [0x01,0x05,0x08,0xc0,0x66,0x00,0x00,0x00]
+0x01,0x05,0x08,0xc0,0x66,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx4 s[20:23], s[2:3], flat_scratch_hi    ; encoding: [0x01,0x05,0x08,0xc0,0x67,0x00,0x00,0x00]
+0x01,0x05,0x08,0xc0,0x67,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx4 s[20:23], s[2:3], vcc_lo    ; encoding: [0x01,0x05,0x08,0xc0,0x6a,0x00,0x00,0x00]
+0x01,0x05,0x08,0xc0,0x6a,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx4 s[20:23], s[2:3], vcc_hi    ; encoding: [0x01,0x05,0x08,0xc0,0x6b,0x00,0x00,0x00]
+0x01,0x05,0x08,0xc0,0x6b,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx4 s[20:23], s[2:3], m0    ; encoding: [0x01,0x05,0x08,0xc0,0x7c,0x00,0x00,0x00]
+0x01,0x05,0x08,0xc0,0x7c,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx4 s[20:23], s[2:3], 0x0    ; encoding: [0x01,0x05,0x0a,0xc0,0x00,0x00,0x00,0x00]
+0x01,0x05,0x0a,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx4 s[20:23], s[2:3], s0 glc    ; encoding: [0x01,0x05,0x09,0xc0,0x00,0x00,0x00,0x00]
+0x01,0x05,0x09,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx8 s[20:27], s[2:3], s0    ; encoding: [0x01,0x05,0x0c,0xc0,0x00,0x00,0x00,0x00]
+0x01,0x05,0x0c,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx8 s[24:31], s[2:3], s0    ; encoding: [0x01,0x06,0x0c,0xc0,0x00,0x00,0x00,0x00]
+0x01,0x06,0x0c,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx8 s[92:99], s[2:3], s0    ; encoding: [0x01,0x17,0x0c,0xc0,0x00,0x00,0x00,0x00]
+0x01,0x17,0x0c,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx8 s[20:27], s[4:5], s0    ; encoding: [0x02,0x05,0x0c,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x05,0x0c,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx8 s[20:27], s[100:101], s0    ; encoding: [0x32,0x05,0x0c,0xc0,0x00,0x00,0x00,0x00]
+0x32,0x05,0x0c,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx8 s[20:27], flat_scratch, s0    ; encoding: [0x33,0x05,0x0c,0xc0,0x00,0x00,0x00,0x00]
+0x33,0x05,0x0c,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx8 s[20:27], vcc, s0    ; encoding: [0x35,0x05,0x0c,0xc0,0x00,0x00,0x00,0x00]
+0x35,0x05,0x0c,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx8 s[20:27], s[2:3], s101    ; encoding: [0x01,0x05,0x0c,0xc0,0x65,0x00,0x00,0x00]
+0x01,0x05,0x0c,0xc0,0x65,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx8 s[20:27], s[2:3], flat_scratch_lo    ; encoding: [0x01,0x05,0x0c,0xc0,0x66,0x00,0x00,0x00]
+0x01,0x05,0x0c,0xc0,0x66,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx8 s[20:27], s[2:3], flat_scratch_hi    ; encoding: [0x01,0x05,0x0c,0xc0,0x67,0x00,0x00,0x00]
+0x01,0x05,0x0c,0xc0,0x67,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx8 s[20:27], s[2:3], vcc_lo    ; encoding: [0x01,0x05,0x0c,0xc0,0x6a,0x00,0x00,0x00]
+0x01,0x05,0x0c,0xc0,0x6a,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx8 s[20:27], s[2:3], vcc_hi    ; encoding: [0x01,0x05,0x0c,0xc0,0x6b,0x00,0x00,0x00]
+0x01,0x05,0x0c,0xc0,0x6b,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx8 s[20:27], s[2:3], m0    ; encoding: [0x01,0x05,0x0c,0xc0,0x7c,0x00,0x00,0x00]
+0x01,0x05,0x0c,0xc0,0x7c,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx8 s[20:27], s[2:3], 0x0    ; encoding: [0x01,0x05,0x0e,0xc0,0x00,0x00,0x00,0x00]
+0x01,0x05,0x0e,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx8 s[20:27], s[2:3], s0 glc    ; encoding: [0x01,0x05,0x0d,0xc0,0x00,0x00,0x00,0x00]
+0x01,0x05,0x0d,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx16 s[20:35], s[2:3], s0    ; encoding: [0x01,0x05,0x10,0xc0,0x00,0x00,0x00,0x00]
+0x01,0x05,0x10,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx16 s[24:39], s[2:3], s0    ; encoding: [0x01,0x06,0x10,0xc0,0x00,0x00,0x00,0x00]
+0x01,0x06,0x10,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx16 s[84:99], s[2:3], s0    ; encoding: [0x01,0x15,0x10,0xc0,0x00,0x00,0x00,0x00]
+0x01,0x15,0x10,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx16 s[20:35], s[4:5], s0    ; encoding: [0x02,0x05,0x10,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x05,0x10,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx16 s[20:35], s[100:101], s0    ; encoding: [0x32,0x05,0x10,0xc0,0x00,0x00,0x00,0x00]
+0x32,0x05,0x10,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx16 s[20:35], flat_scratch, s0    ; encoding: [0x33,0x05,0x10,0xc0,0x00,0x00,0x00,0x00]
+0x33,0x05,0x10,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx16 s[20:35], vcc, s0    ; encoding: [0x35,0x05,0x10,0xc0,0x00,0x00,0x00,0x00]
+0x35,0x05,0x10,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx16 s[20:35], s[2:3], s101    ; encoding: [0x01,0x05,0x10,0xc0,0x65,0x00,0x00,0x00]
+0x01,0x05,0x10,0xc0,0x65,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx16 s[20:35], s[2:3], flat_scratch_lo    ; encoding: [0x01,0x05,0x10,0xc0,0x66,0x00,0x00,0x00]
+0x01,0x05,0x10,0xc0,0x66,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx16 s[20:35], s[2:3], flat_scratch_hi    ; encoding: [0x01,0x05,0x10,0xc0,0x67,0x00,0x00,0x00]
+0x01,0x05,0x10,0xc0,0x67,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx16 s[20:35], s[2:3], vcc_lo    ; encoding: [0x01,0x05,0x10,0xc0,0x6a,0x00,0x00,0x00]
+0x01,0x05,0x10,0xc0,0x6a,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx16 s[20:35], s[2:3], vcc_hi    ; encoding: [0x01,0x05,0x10,0xc0,0x6b,0x00,0x00,0x00]
+0x01,0x05,0x10,0xc0,0x6b,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx16 s[20:35], s[2:3], m0    ; encoding: [0x01,0x05,0x10,0xc0,0x7c,0x00,0x00,0x00]
+0x01,0x05,0x10,0xc0,0x7c,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx16 s[20:35], s[2:3], 0x0    ; encoding: [0x01,0x05,0x12,0xc0,0x00,0x00,0x00,0x00]
+0x01,0x05,0x12,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_load_dwordx16 s[20:35], s[2:3], s0 glc    ; encoding: [0x01,0x05,0x11,0xc0,0x00,0x00,0x00,0x00]
+0x01,0x05,0x11,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dword s5, s[4:7], s0    ; encoding: [0x42,0x01,0x20,0xc0,0x00,0x00,0x00,0x00]
+0x42,0x01,0x20,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dword s101, s[4:7], s0    ; encoding: [0x42,0x19,0x20,0xc0,0x00,0x00,0x00,0x00]
+0x42,0x19,0x20,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dword flat_scratch_lo, s[4:7], s0    ; encoding: [0x82,0x19,0x20,0xc0,0x00,0x00,0x00,0x00]
+0x82,0x19,0x20,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dword flat_scratch_hi, s[4:7], s0    ; encoding: [0xc2,0x19,0x20,0xc0,0x00,0x00,0x00,0x00]
+0xc2,0x19,0x20,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dword vcc_lo, s[4:7], s0    ; encoding: [0x82,0x1a,0x20,0xc0,0x00,0x00,0x00,0x00]
+0x82,0x1a,0x20,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dword vcc_hi, s[4:7], s0    ; encoding: [0xc2,0x1a,0x20,0xc0,0x00,0x00,0x00,0x00]
+0xc2,0x1a,0x20,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dword s5, s[8:11], s0    ; encoding: [0x44,0x01,0x20,0xc0,0x00,0x00,0x00,0x00]
+0x44,0x01,0x20,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dword s5, s[96:99], s0    ; encoding: [0x70,0x01,0x20,0xc0,0x00,0x00,0x00,0x00]
+0x70,0x01,0x20,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dword s5, s[4:7], s101    ; encoding: [0x42,0x01,0x20,0xc0,0x65,0x00,0x00,0x00]
+0x42,0x01,0x20,0xc0,0x65,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dword s5, s[4:7], flat_scratch_lo    ; encoding: [0x42,0x01,0x20,0xc0,0x66,0x00,0x00,0x00]
+0x42,0x01,0x20,0xc0,0x66,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dword s5, s[4:7], flat_scratch_hi    ; encoding: [0x42,0x01,0x20,0xc0,0x67,0x00,0x00,0x00]
+0x42,0x01,0x20,0xc0,0x67,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dword s5, s[4:7], vcc_lo    ; encoding: [0x42,0x01,0x20,0xc0,0x6a,0x00,0x00,0x00]
+0x42,0x01,0x20,0xc0,0x6a,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dword s5, s[4:7], vcc_hi    ; encoding: [0x42,0x01,0x20,0xc0,0x6b,0x00,0x00,0x00]
+0x42,0x01,0x20,0xc0,0x6b,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dword s5, s[4:7], m0    ; encoding: [0x42,0x01,0x20,0xc0,0x7c,0x00,0x00,0x00]
+0x42,0x01,0x20,0xc0,0x7c,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dword s5, s[4:7], 0x0    ; encoding: [0x42,0x01,0x22,0xc0,0x00,0x00,0x00,0x00]
+0x42,0x01,0x22,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dword s5, s[4:7], s0 glc    ; encoding: [0x42,0x01,0x21,0xc0,0x00,0x00,0x00,0x00]
+0x42,0x01,0x21,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx2 s[10:11], s[4:7], s0    ; encoding: [0x82,0x02,0x24,0xc0,0x00,0x00,0x00,0x00]
+0x82,0x02,0x24,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx2 s[12:13], s[4:7], s0    ; encoding: [0x02,0x03,0x24,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x03,0x24,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx2 s[100:101], s[4:7], s0    ; encoding: [0x02,0x19,0x24,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x19,0x24,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx2 flat_scratch, s[4:7], s0    ; encoding: [0x82,0x19,0x24,0xc0,0x00,0x00,0x00,0x00]
+0x82,0x19,0x24,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx2 vcc, s[4:7], s0    ; encoding: [0x82,0x1a,0x24,0xc0,0x00,0x00,0x00,0x00]
+0x82,0x1a,0x24,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx2 s[10:11], s[8:11], s0    ; encoding: [0x84,0x02,0x24,0xc0,0x00,0x00,0x00,0x00]
+0x84,0x02,0x24,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx2 s[10:11], s[96:99], s0    ; encoding: [0xb0,0x02,0x24,0xc0,0x00,0x00,0x00,0x00]
+0xb0,0x02,0x24,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx2 s[10:11], s[4:7], s101    ; encoding: [0x82,0x02,0x24,0xc0,0x65,0x00,0x00,0x00]
+0x82,0x02,0x24,0xc0,0x65,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx2 s[10:11], s[4:7], flat_scratch_lo    ; encoding: [0x82,0x02,0x24,0xc0,0x66,0x00,0x00,0x00]
+0x82,0x02,0x24,0xc0,0x66,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx2 s[10:11], s[4:7], flat_scratch_hi    ; encoding: [0x82,0x02,0x24,0xc0,0x67,0x00,0x00,0x00]
+0x82,0x02,0x24,0xc0,0x67,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx2 s[10:11], s[4:7], vcc_lo    ; encoding: [0x82,0x02,0x24,0xc0,0x6a,0x00,0x00,0x00]
+0x82,0x02,0x24,0xc0,0x6a,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx2 s[10:11], s[4:7], vcc_hi    ; encoding: [0x82,0x02,0x24,0xc0,0x6b,0x00,0x00,0x00]
+0x82,0x02,0x24,0xc0,0x6b,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx2 s[10:11], s[4:7], m0    ; encoding: [0x82,0x02,0x24,0xc0,0x7c,0x00,0x00,0x00]
+0x82,0x02,0x24,0xc0,0x7c,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx2 s[10:11], s[4:7], 0x0    ; encoding: [0x82,0x02,0x26,0xc0,0x00,0x00,0x00,0x00]
+0x82,0x02,0x26,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx2 s[10:11], s[4:7], s0 glc    ; encoding: [0x82,0x02,0x25,0xc0,0x00,0x00,0x00,0x00]
+0x82,0x02,0x25,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx4 s[20:23], s[4:7], s0    ; encoding: [0x02,0x05,0x28,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x05,0x28,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx4 s[24:27], s[4:7], s0    ; encoding: [0x02,0x06,0x28,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x06,0x28,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx4 s[96:99], s[4:7], s0    ; encoding: [0x02,0x18,0x28,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x18,0x28,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx4 s[20:23], s[8:11], s0    ; encoding: [0x04,0x05,0x28,0xc0,0x00,0x00,0x00,0x00]
+0x04,0x05,0x28,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx4 s[20:23], s[96:99], s0    ; encoding: [0x30,0x05,0x28,0xc0,0x00,0x00,0x00,0x00]
+0x30,0x05,0x28,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx4 s[20:23], s[4:7], s101    ; encoding: [0x02,0x05,0x28,0xc0,0x65,0x00,0x00,0x00]
+0x02,0x05,0x28,0xc0,0x65,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx4 s[20:23], s[4:7], flat_scratch_lo    ; encoding: [0x02,0x05,0x28,0xc0,0x66,0x00,0x00,0x00]
+0x02,0x05,0x28,0xc0,0x66,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx4 s[20:23], s[4:7], flat_scratch_hi    ; encoding: [0x02,0x05,0x28,0xc0,0x67,0x00,0x00,0x00]
+0x02,0x05,0x28,0xc0,0x67,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx4 s[20:23], s[4:7], vcc_lo    ; encoding: [0x02,0x05,0x28,0xc0,0x6a,0x00,0x00,0x00]
+0x02,0x05,0x28,0xc0,0x6a,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx4 s[20:23], s[4:7], vcc_hi    ; encoding: [0x02,0x05,0x28,0xc0,0x6b,0x00,0x00,0x00]
+0x02,0x05,0x28,0xc0,0x6b,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx4 s[20:23], s[4:7], m0    ; encoding: [0x02,0x05,0x28,0xc0,0x7c,0x00,0x00,0x00]
+0x02,0x05,0x28,0xc0,0x7c,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx4 s[20:23], s[4:7], 0x0    ; encoding: [0x02,0x05,0x2a,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x05,0x2a,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx4 s[20:23], s[4:7], s0 glc    ; encoding: [0x02,0x05,0x29,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x05,0x29,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx8 s[20:27], s[4:7], s0    ; encoding: [0x02,0x05,0x2c,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x05,0x2c,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx8 s[24:31], s[4:7], s0    ; encoding: [0x02,0x06,0x2c,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x06,0x2c,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx8 s[92:99], s[4:7], s0    ; encoding: [0x02,0x17,0x2c,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x17,0x2c,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx8 s[20:27], s[8:11], s0    ; encoding: [0x04,0x05,0x2c,0xc0,0x00,0x00,0x00,0x00]
+0x04,0x05,0x2c,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx8 s[20:27], s[96:99], s0    ; encoding: [0x30,0x05,0x2c,0xc0,0x00,0x00,0x00,0x00]
+0x30,0x05,0x2c,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx8 s[20:27], s[4:7], s101    ; encoding: [0x02,0x05,0x2c,0xc0,0x65,0x00,0x00,0x00]
+0x02,0x05,0x2c,0xc0,0x65,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx8 s[20:27], s[4:7], flat_scratch_lo    ; encoding: [0x02,0x05,0x2c,0xc0,0x66,0x00,0x00,0x00]
+0x02,0x05,0x2c,0xc0,0x66,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx8 s[20:27], s[4:7], flat_scratch_hi    ; encoding: [0x02,0x05,0x2c,0xc0,0x67,0x00,0x00,0x00]
+0x02,0x05,0x2c,0xc0,0x67,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx8 s[20:27], s[4:7], vcc_lo    ; encoding: [0x02,0x05,0x2c,0xc0,0x6a,0x00,0x00,0x00]
+0x02,0x05,0x2c,0xc0,0x6a,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx8 s[20:27], s[4:7], vcc_hi    ; encoding: [0x02,0x05,0x2c,0xc0,0x6b,0x00,0x00,0x00]
+0x02,0x05,0x2c,0xc0,0x6b,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx8 s[20:27], s[4:7], m0    ; encoding: [0x02,0x05,0x2c,0xc0,0x7c,0x00,0x00,0x00]
+0x02,0x05,0x2c,0xc0,0x7c,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx8 s[20:27], s[4:7], 0x0    ; encoding: [0x02,0x05,0x2e,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x05,0x2e,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx8 s[20:27], s[4:7], s0 glc    ; encoding: [0x02,0x05,0x2d,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x05,0x2d,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx16 s[20:35], s[4:7], s0    ; encoding: [0x02,0x05,0x30,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x05,0x30,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx16 s[24:39], s[4:7], s0    ; encoding: [0x02,0x06,0x30,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x06,0x30,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx16 s[84:99], s[4:7], s0    ; encoding: [0x02,0x15,0x30,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x15,0x30,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx16 s[20:35], s[8:11], s0    ; encoding: [0x04,0x05,0x30,0xc0,0x00,0x00,0x00,0x00]
+0x04,0x05,0x30,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx16 s[20:35], s[96:99], s0    ; encoding: [0x30,0x05,0x30,0xc0,0x00,0x00,0x00,0x00]
+0x30,0x05,0x30,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx16 s[20:35], s[4:7], s101    ; encoding: [0x02,0x05,0x30,0xc0,0x65,0x00,0x00,0x00]
+0x02,0x05,0x30,0xc0,0x65,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx16 s[20:35], s[4:7], flat_scratch_lo    ; encoding: [0x02,0x05,0x30,0xc0,0x66,0x00,0x00,0x00]
+0x02,0x05,0x30,0xc0,0x66,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx16 s[20:35], s[4:7], flat_scratch_hi    ; encoding: [0x02,0x05,0x30,0xc0,0x67,0x00,0x00,0x00]
+0x02,0x05,0x30,0xc0,0x67,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx16 s[20:35], s[4:7], vcc_lo    ; encoding: [0x02,0x05,0x30,0xc0,0x6a,0x00,0x00,0x00]
+0x02,0x05,0x30,0xc0,0x6a,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx16 s[20:35], s[4:7], vcc_hi    ; encoding: [0x02,0x05,0x30,0xc0,0x6b,0x00,0x00,0x00]
+0x02,0x05,0x30,0xc0,0x6b,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx16 s[20:35], s[4:7], m0    ; encoding: [0x02,0x05,0x30,0xc0,0x7c,0x00,0x00,0x00]
+0x02,0x05,0x30,0xc0,0x7c,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx16 s[20:35], s[4:7], 0x0    ; encoding: [0x02,0x05,0x32,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x05,0x32,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_load_dwordx16 s[20:35], s[4:7], s0 glc    ; encoding: [0x02,0x05,0x31,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x05,0x31,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dword s1, s[4:5], s0    ; encoding: [0x42,0x00,0x40,0xc0,0x00,0x00,0x00,0x00]
+0x42,0x00,0x40,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dword s101, s[4:5], s0    ; encoding: [0x42,0x19,0x40,0xc0,0x00,0x00,0x00,0x00]
+0x42,0x19,0x40,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dword flat_scratch_lo, s[4:5], s0    ; encoding: [0x82,0x19,0x40,0xc0,0x00,0x00,0x00,0x00]
+0x82,0x19,0x40,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dword flat_scratch_hi, s[4:5], s0    ; encoding: [0xc2,0x19,0x40,0xc0,0x00,0x00,0x00,0x00]
+0xc2,0x19,0x40,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dword vcc_lo, s[4:5], s0    ; encoding: [0x82,0x1a,0x40,0xc0,0x00,0x00,0x00,0x00]
+0x82,0x1a,0x40,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dword vcc_hi, s[4:5], s0    ; encoding: [0xc2,0x1a,0x40,0xc0,0x00,0x00,0x00,0x00]
+0xc2,0x1a,0x40,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dword s1, s[6:7], s0    ; encoding: [0x43,0x00,0x40,0xc0,0x00,0x00,0x00,0x00]
+0x43,0x00,0x40,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dword s1, s[100:101], s0    ; encoding: [0x72,0x00,0x40,0xc0,0x00,0x00,0x00,0x00]
+0x72,0x00,0x40,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dword s1, flat_scratch, s0    ; encoding: [0x73,0x00,0x40,0xc0,0x00,0x00,0x00,0x00]
+0x73,0x00,0x40,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dword s1, vcc, s0    ; encoding: [0x75,0x00,0x40,0xc0,0x00,0x00,0x00,0x00]
+0x75,0x00,0x40,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dword s1, s[4:5], s101    ; encoding: [0x42,0x00,0x40,0xc0,0x65,0x00,0x00,0x00]
+0x42,0x00,0x40,0xc0,0x65,0x00,0x00,0x00
+
+# CHECK: s_store_dword s1, s[4:5], flat_scratch_lo    ; encoding: [0x42,0x00,0x40,0xc0,0x66,0x00,0x00,0x00]
+0x42,0x00,0x40,0xc0,0x66,0x00,0x00,0x00
+
+# CHECK: s_store_dword s1, s[4:5], flat_scratch_hi    ; encoding: [0x42,0x00,0x40,0xc0,0x67,0x00,0x00,0x00]
+0x42,0x00,0x40,0xc0,0x67,0x00,0x00,0x00
+
+# CHECK: s_store_dword s1, s[4:5], vcc_lo    ; encoding: [0x42,0x00,0x40,0xc0,0x6a,0x00,0x00,0x00]
+0x42,0x00,0x40,0xc0,0x6a,0x00,0x00,0x00
+
+# CHECK: s_store_dword s1, s[4:5], vcc_hi    ; encoding: [0x42,0x00,0x40,0xc0,0x6b,0x00,0x00,0x00]
+0x42,0x00,0x40,0xc0,0x6b,0x00,0x00,0x00
+
+# CHECK: s_store_dword s1, s[4:5], m0    ; encoding: [0x42,0x00,0x40,0xc0,0x7c,0x00,0x00,0x00]
+0x42,0x00,0x40,0xc0,0x7c,0x00,0x00,0x00
+
+# CHECK: s_store_dword s1, s[4:5], 0x0    ; encoding: [0x42,0x00,0x42,0xc0,0x00,0x00,0x00,0x00]
+0x42,0x00,0x42,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dword s1, s[4:5], s0 glc    ; encoding: [0x42,0x00,0x41,0xc0,0x00,0x00,0x00,0x00]
+0x42,0x00,0x41,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx2 s[2:3], s[4:5], s0    ; encoding: [0x82,0x00,0x44,0xc0,0x00,0x00,0x00,0x00]
+0x82,0x00,0x44,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx2 s[4:5], s[4:5], s0    ; encoding: [0x02,0x01,0x44,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x01,0x44,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx2 s[100:101], s[4:5], s0    ; encoding: [0x02,0x19,0x44,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x19,0x44,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx2 flat_scratch, s[4:5], s0    ; encoding: [0x82,0x19,0x44,0xc0,0x00,0x00,0x00,0x00]
+0x82,0x19,0x44,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx2 vcc, s[4:5], s0    ; encoding: [0x82,0x1a,0x44,0xc0,0x00,0x00,0x00,0x00]
+0x82,0x1a,0x44,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx2 s[2:3], s[6:7], s0    ; encoding: [0x83,0x00,0x44,0xc0,0x00,0x00,0x00,0x00]
+0x83,0x00,0x44,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx2 s[2:3], s[100:101], s0    ; encoding: [0xb2,0x00,0x44,0xc0,0x00,0x00,0x00,0x00]
+0xb2,0x00,0x44,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx2 s[2:3], flat_scratch, s0    ; encoding: [0xb3,0x00,0x44,0xc0,0x00,0x00,0x00,0x00]
+0xb3,0x00,0x44,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx2 s[2:3], vcc, s0    ; encoding: [0xb5,0x00,0x44,0xc0,0x00,0x00,0x00,0x00]
+0xb5,0x00,0x44,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx2 s[2:3], s[4:5], s101    ; encoding: [0x82,0x00,0x44,0xc0,0x65,0x00,0x00,0x00]
+0x82,0x00,0x44,0xc0,0x65,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx2 s[2:3], s[4:5], flat_scratch_lo    ; encoding: [0x82,0x00,0x44,0xc0,0x66,0x00,0x00,0x00]
+0x82,0x00,0x44,0xc0,0x66,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx2 s[2:3], s[4:5], flat_scratch_hi    ; encoding: [0x82,0x00,0x44,0xc0,0x67,0x00,0x00,0x00]
+0x82,0x00,0x44,0xc0,0x67,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx2 s[2:3], s[4:5], vcc_lo    ; encoding: [0x82,0x00,0x44,0xc0,0x6a,0x00,0x00,0x00]
+0x82,0x00,0x44,0xc0,0x6a,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx2 s[2:3], s[4:5], vcc_hi    ; encoding: [0x82,0x00,0x44,0xc0,0x6b,0x00,0x00,0x00]
+0x82,0x00,0x44,0xc0,0x6b,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx2 s[2:3], s[4:5], m0    ; encoding: [0x82,0x00,0x44,0xc0,0x7c,0x00,0x00,0x00]
+0x82,0x00,0x44,0xc0,0x7c,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx2 s[2:3], s[4:5], 0x0    ; encoding: [0x82,0x00,0x46,0xc0,0x00,0x00,0x00,0x00]
+0x82,0x00,0x46,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx2 s[2:3], s[4:5], s0 glc    ; encoding: [0x82,0x00,0x45,0xc0,0x00,0x00,0x00,0x00]
+0x82,0x00,0x45,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx4 s[4:7], s[4:5], s0    ; encoding: [0x02,0x01,0x48,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x01,0x48,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx4 s[8:11], s[4:5], s0    ; encoding: [0x02,0x02,0x48,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x02,0x48,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx4 s[96:99], s[4:5], s0    ; encoding: [0x02,0x18,0x48,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x18,0x48,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx4 s[4:7], s[6:7], s0    ; encoding: [0x03,0x01,0x48,0xc0,0x00,0x00,0x00,0x00]
+0x03,0x01,0x48,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx4 s[4:7], s[100:101], s0    ; encoding: [0x32,0x01,0x48,0xc0,0x00,0x00,0x00,0x00]
+0x32,0x01,0x48,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx4 s[4:7], flat_scratch, s0    ; encoding: [0x33,0x01,0x48,0xc0,0x00,0x00,0x00,0x00]
+0x33,0x01,0x48,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx4 s[4:7], vcc, s0    ; encoding: [0x35,0x01,0x48,0xc0,0x00,0x00,0x00,0x00]
+0x35,0x01,0x48,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx4 s[4:7], s[4:5], s101    ; encoding: [0x02,0x01,0x48,0xc0,0x65,0x00,0x00,0x00]
+0x02,0x01,0x48,0xc0,0x65,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx4 s[4:7], s[4:5], flat_scratch_lo    ; encoding: [0x02,0x01,0x48,0xc0,0x66,0x00,0x00,0x00]
+0x02,0x01,0x48,0xc0,0x66,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx4 s[4:7], s[4:5], flat_scratch_hi    ; encoding: [0x02,0x01,0x48,0xc0,0x67,0x00,0x00,0x00]
+0x02,0x01,0x48,0xc0,0x67,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx4 s[4:7], s[4:5], vcc_lo    ; encoding: [0x02,0x01,0x48,0xc0,0x6a,0x00,0x00,0x00]
+0x02,0x01,0x48,0xc0,0x6a,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx4 s[4:7], s[4:5], vcc_hi    ; encoding: [0x02,0x01,0x48,0xc0,0x6b,0x00,0x00,0x00]
+0x02,0x01,0x48,0xc0,0x6b,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx4 s[4:7], s[4:5], m0    ; encoding: [0x02,0x01,0x48,0xc0,0x7c,0x00,0x00,0x00]
+0x02,0x01,0x48,0xc0,0x7c,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx4 s[4:7], s[4:5], 0x0    ; encoding: [0x02,0x01,0x4a,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x01,0x4a,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_store_dwordx4 s[4:7], s[4:5], s0 glc    ; encoding: [0x02,0x01,0x49,0xc0,0x00,0x00,0x00,0x00]
+0x02,0x01,0x49,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dword s1, s[8:11], s0    ; encoding: [0x44,0x00,0x60,0xc0,0x00,0x00,0x00,0x00]
+0x44,0x00,0x60,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dword s101, s[8:11], s0    ; encoding: [0x44,0x19,0x60,0xc0,0x00,0x00,0x00,0x00]
+0x44,0x19,0x60,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dword flat_scratch_lo, s[8:11], s0    ; encoding: [0x84,0x19,0x60,0xc0,0x00,0x00,0x00,0x00]
+0x84,0x19,0x60,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dword flat_scratch_hi, s[8:11], s0    ; encoding: [0xc4,0x19,0x60,0xc0,0x00,0x00,0x00,0x00]
+0xc4,0x19,0x60,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dword vcc_lo, s[8:11], s0    ; encoding: [0x84,0x1a,0x60,0xc0,0x00,0x00,0x00,0x00]
+0x84,0x1a,0x60,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dword vcc_hi, s[8:11], s0    ; encoding: [0xc4,0x1a,0x60,0xc0,0x00,0x00,0x00,0x00]
+0xc4,0x1a,0x60,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dword s1, s[12:15], s0    ; encoding: [0x46,0x00,0x60,0xc0,0x00,0x00,0x00,0x00]
+0x46,0x00,0x60,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dword s1, s[96:99], s0    ; encoding: [0x70,0x00,0x60,0xc0,0x00,0x00,0x00,0x00]
+0x70,0x00,0x60,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dword s1, s[8:11], s101    ; encoding: [0x44,0x00,0x60,0xc0,0x65,0x00,0x00,0x00]
+0x44,0x00,0x60,0xc0,0x65,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dword s1, s[8:11], flat_scratch_lo    ; encoding: [0x44,0x00,0x60,0xc0,0x66,0x00,0x00,0x00]
+0x44,0x00,0x60,0xc0,0x66,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dword s1, s[8:11], flat_scratch_hi    ; encoding: [0x44,0x00,0x60,0xc0,0x67,0x00,0x00,0x00]
+0x44,0x00,0x60,0xc0,0x67,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dword s1, s[8:11], vcc_lo    ; encoding: [0x44,0x00,0x60,0xc0,0x6a,0x00,0x00,0x00]
+0x44,0x00,0x60,0xc0,0x6a,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dword s1, s[8:11], vcc_hi    ; encoding: [0x44,0x00,0x60,0xc0,0x6b,0x00,0x00,0x00]
+0x44,0x00,0x60,0xc0,0x6b,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dword s1, s[8:11], m0    ; encoding: [0x44,0x00,0x60,0xc0,0x7c,0x00,0x00,0x00]
+0x44,0x00,0x60,0xc0,0x7c,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dword s1, s[8:11], 0x0    ; encoding: [0x44,0x00,0x62,0xc0,0x00,0x00,0x00,0x00]
+0x44,0x00,0x62,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dword s1, s[8:11], s0 glc    ; encoding: [0x44,0x00,0x61,0xc0,0x00,0x00,0x00,0x00]
+0x44,0x00,0x61,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx2 s[2:3], s[8:11], s0    ; encoding: [0x84,0x00,0x64,0xc0,0x00,0x00,0x00,0x00]
+0x84,0x00,0x64,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx2 s[4:5], s[8:11], s0    ; encoding: [0x04,0x01,0x64,0xc0,0x00,0x00,0x00,0x00]
+0x04,0x01,0x64,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx2 s[100:101], s[8:11], s0    ; encoding: [0x04,0x19,0x64,0xc0,0x00,0x00,0x00,0x00]
+0x04,0x19,0x64,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx2 flat_scratch, s[8:11], s0    ; encoding: [0x84,0x19,0x64,0xc0,0x00,0x00,0x00,0x00]
+0x84,0x19,0x64,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx2 vcc, s[8:11], s0    ; encoding: [0x84,0x1a,0x64,0xc0,0x00,0x00,0x00,0x00]
+0x84,0x1a,0x64,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx2 s[2:3], s[12:15], s0    ; encoding: [0x86,0x00,0x64,0xc0,0x00,0x00,0x00,0x00]
+0x86,0x00,0x64,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx2 s[2:3], s[96:99], s0    ; encoding: [0xb0,0x00,0x64,0xc0,0x00,0x00,0x00,0x00]
+0xb0,0x00,0x64,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx2 s[2:3], s[8:11], s101    ; encoding: [0x84,0x00,0x64,0xc0,0x65,0x00,0x00,0x00]
+0x84,0x00,0x64,0xc0,0x65,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx2 s[2:3], s[8:11], flat_scratch_lo    ; encoding: [0x84,0x00,0x64,0xc0,0x66,0x00,0x00,0x00]
+0x84,0x00,0x64,0xc0,0x66,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx2 s[2:3], s[8:11], flat_scratch_hi    ; encoding: [0x84,0x00,0x64,0xc0,0x67,0x00,0x00,0x00]
+0x84,0x00,0x64,0xc0,0x67,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx2 s[2:3], s[8:11], vcc_lo    ; encoding: [0x84,0x00,0x64,0xc0,0x6a,0x00,0x00,0x00]
+0x84,0x00,0x64,0xc0,0x6a,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx2 s[2:3], s[8:11], vcc_hi    ; encoding: [0x84,0x00,0x64,0xc0,0x6b,0x00,0x00,0x00]
+0x84,0x00,0x64,0xc0,0x6b,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx2 s[2:3], s[8:11], m0    ; encoding: [0x84,0x00,0x64,0xc0,0x7c,0x00,0x00,0x00]
+0x84,0x00,0x64,0xc0,0x7c,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx2 s[2:3], s[8:11], 0x0    ; encoding: [0x84,0x00,0x66,0xc0,0x00,0x00,0x00,0x00]
+0x84,0x00,0x66,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx2 s[2:3], s[8:11], s0 glc    ; encoding: [0x84,0x00,0x65,0xc0,0x00,0x00,0x00,0x00]
+0x84,0x00,0x65,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx4 s[4:7], s[8:11], s0    ; encoding: [0x04,0x01,0x68,0xc0,0x00,0x00,0x00,0x00]
+0x04,0x01,0x68,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx4 s[8:11], s[8:11], s0    ; encoding: [0x04,0x02,0x68,0xc0,0x00,0x00,0x00,0x00]
+0x04,0x02,0x68,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx4 s[96:99], s[8:11], s0    ; encoding: [0x04,0x18,0x68,0xc0,0x00,0x00,0x00,0x00]
+0x04,0x18,0x68,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx4 s[4:7], s[12:15], s0    ; encoding: [0x06,0x01,0x68,0xc0,0x00,0x00,0x00,0x00]
+0x06,0x01,0x68,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx4 s[4:7], s[96:99], s0    ; encoding: [0x30,0x01,0x68,0xc0,0x00,0x00,0x00,0x00]
+0x30,0x01,0x68,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx4 s[4:7], s[8:11], s101    ; encoding: [0x04,0x01,0x68,0xc0,0x65,0x00,0x00,0x00]
+0x04,0x01,0x68,0xc0,0x65,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx4 s[4:7], s[8:11], flat_scratch_lo    ; encoding: [0x04,0x01,0x68,0xc0,0x66,0x00,0x00,0x00]
+0x04,0x01,0x68,0xc0,0x66,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx4 s[4:7], s[8:11], flat_scratch_hi    ; encoding: [0x04,0x01,0x68,0xc0,0x67,0x00,0x00,0x00]
+0x04,0x01,0x68,0xc0,0x67,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx4 s[4:7], s[8:11], vcc_lo    ; encoding: [0x04,0x01,0x68,0xc0,0x6a,0x00,0x00,0x00]
+0x04,0x01,0x68,0xc0,0x6a,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx4 s[4:7], s[8:11], vcc_hi    ; encoding: [0x04,0x01,0x68,0xc0,0x6b,0x00,0x00,0x00]
+0x04,0x01,0x68,0xc0,0x6b,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx4 s[4:7], s[8:11], m0    ; encoding: [0x04,0x01,0x68,0xc0,0x7c,0x00,0x00,0x00]
+0x04,0x01,0x68,0xc0,0x7c,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx4 s[4:7], s[8:11], 0x0    ; encoding: [0x04,0x01,0x6a,0xc0,0x00,0x00,0x00,0x00]
+0x04,0x01,0x6a,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_buffer_store_dwordx4 s[4:7], s[8:11], s0 glc    ; encoding: [0x04,0x01,0x69,0xc0,0x00,0x00,0x00,0x00]
+0x04,0x01,0x69,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_dcache_inv    ; encoding: [0x00,0x00,0x80,0xc0,0x00,0x00,0x00,0x00]
+0x00,0x00,0x80,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_dcache_wb    ; encoding: [0x00,0x00,0x84,0xc0,0x00,0x00,0x00,0x00]
+0x00,0x00,0x84,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_dcache_inv_vol    ; encoding: [0x00,0x00,0x88,0xc0,0x00,0x00,0x00,0x00]
+0x00,0x00,0x88,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_dcache_wb_vol    ; encoding: [0x00,0x00,0x8c,0xc0,0x00,0x00,0x00,0x00]
+0x00,0x00,0x8c,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_memtime s[10:11]    ; encoding: [0x80,0x02,0x90,0xc0,0x00,0x00,0x00,0x00]
+0x80,0x02,0x90,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_memtime s[12:13]    ; encoding: [0x00,0x03,0x90,0xc0,0x00,0x00,0x00,0x00]
+0x00,0x03,0x90,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_memtime s[100:101]    ; encoding: [0x00,0x19,0x90,0xc0,0x00,0x00,0x00,0x00]
+0x00,0x19,0x90,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_memtime flat_scratch    ; encoding: [0x80,0x19,0x90,0xc0,0x00,0x00,0x00,0x00]
+0x80,0x19,0x90,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_memtime vcc    ; encoding: [0x80,0x1a,0x90,0xc0,0x00,0x00,0x00,0x00]
+0x80,0x1a,0x90,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_memrealtime s[10:11]    ; encoding: [0x80,0x02,0x94,0xc0,0x00,0x00,0x00,0x00]
+0x80,0x02,0x94,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_memrealtime s[12:13]    ; encoding: [0x00,0x03,0x94,0xc0,0x00,0x00,0x00,0x00]
+0x00,0x03,0x94,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_memrealtime s[100:101]    ; encoding: [0x00,0x19,0x94,0xc0,0x00,0x00,0x00,0x00]
+0x00,0x19,0x94,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_memrealtime flat_scratch    ; encoding: [0x80,0x19,0x94,0xc0,0x00,0x00,0x00,0x00]
+0x80,0x19,0x94,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_memrealtime vcc    ; encoding: [0x80,0x1a,0x94,0xc0,0x00,0x00,0x00,0x00]
+0x80,0x1a,0x94,0xc0,0x00,0x00,0x00,0x00
+
+# CHECK: s_mov_b32 s5, s1    ; encoding: [0x01,0x00,0x85,0xbe]
+0x01,0x00,0x85,0xbe
+
+# CHECK: s_mov_b32 s101, s1    ; encoding: [0x01,0x00,0xe5,0xbe]
+0x01,0x00,0xe5,0xbe
+
+# CHECK: s_mov_b32 flat_scratch_lo, s1    ; encoding: [0x01,0x00,0xe6,0xbe]
+0x01,0x00,0xe6,0xbe
+
+# CHECK: s_mov_b32 flat_scratch_hi, s1    ; encoding: [0x01,0x00,0xe7,0xbe]
+0x01,0x00,0xe7,0xbe
+
+# CHECK: s_mov_b32 vcc_lo, s1    ; encoding: [0x01,0x00,0xea,0xbe]
+0x01,0x00,0xea,0xbe
+
+# CHECK: s_mov_b32 vcc_hi, s1    ; encoding: [0x01,0x00,0xeb,0xbe]
+0x01,0x00,0xeb,0xbe
+
+# CHECK: s_mov_b32 m0, s1    ; encoding: [0x01,0x00,0xfc,0xbe]
+0x01,0x00,0xfc,0xbe
+
+# CHECK: s_mov_b32 exec_lo, s1    ; encoding: [0x01,0x00,0xfe,0xbe]
+0x01,0x00,0xfe,0xbe
+
+# CHECK: s_mov_b32 exec_hi, s1    ; encoding: [0x01,0x00,0xff,0xbe]
+0x01,0x00,0xff,0xbe
+
+# CHECK: s_mov_b32 s5, s101    ; encoding: [0x65,0x00,0x85,0xbe]
+0x65,0x00,0x85,0xbe
+
+# CHECK: s_mov_b32 s5, flat_scratch_lo    ; encoding: [0x66,0x00,0x85,0xbe]
+0x66,0x00,0x85,0xbe
+
+# CHECK: s_mov_b32 s5, flat_scratch_hi    ; encoding: [0x67,0x00,0x85,0xbe]
+0x67,0x00,0x85,0xbe
+
+# CHECK: s_mov_b32 s5, vcc_lo    ; encoding: [0x6a,0x00,0x85,0xbe]
+0x6a,0x00,0x85,0xbe
+
+# CHECK: s_mov_b32 s5, vcc_hi    ; encoding: [0x6b,0x00,0x85,0xbe]
+0x6b,0x00,0x85,0xbe
+
+# CHECK: s_mov_b32 s5, m0    ; encoding: [0x7c,0x00,0x85,0xbe]
+0x7c,0x00,0x85,0xbe
+
+# CHECK: s_mov_b32 s5, exec_lo    ; encoding: [0x7e,0x00,0x85,0xbe]
+0x7e,0x00,0x85,0xbe
+
+# CHECK: s_mov_b32 s5, exec_hi    ; encoding: [0x7f,0x00,0x85,0xbe]
+0x7f,0x00,0x85,0xbe
+
+# CHECK: s_mov_b32 s5, 0    ; encoding: [0x80,0x00,0x85,0xbe]
+0x80,0x00,0x85,0xbe
+
+# CHECK: s_mov_b32 s5, -1    ; encoding: [0xc1,0x00,0x85,0xbe]
+0xc1,0x00,0x85,0xbe
+
+# CHECK: s_mov_b32 s5, 0.5    ; encoding: [0xf0,0x00,0x85,0xbe]
+0xf0,0x00,0x85,0xbe
+
+# CHECK: s_mov_b32 s5, -4.0    ; encoding: [0xf7,0x00,0x85,0xbe]
+0xf7,0x00,0x85,0xbe
+
+# CHECK: s_mov_b32 s5, 0xaf123456    ; encoding: [0xff,0x00,0x85,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x00,0x85,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_mov_b32 s5, 0x3f717273    ; encoding: [0xff,0x00,0x85,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x00,0x85,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_mov_b64 s[10:11], s[2:3]    ; encoding: [0x02,0x01,0x8a,0xbe]
+0x02,0x01,0x8a,0xbe
+
+# CHECK: s_mov_b64 s[12:13], s[2:3]    ; encoding: [0x02,0x01,0x8c,0xbe]
+0x02,0x01,0x8c,0xbe
+
+# CHECK: s_mov_b64 s[100:101], s[2:3]    ; encoding: [0x02,0x01,0xe4,0xbe]
+0x02,0x01,0xe4,0xbe
+
+# CHECK: s_mov_b64 flat_scratch, s[2:3]    ; encoding: [0x02,0x01,0xe6,0xbe]
+0x02,0x01,0xe6,0xbe
+
+# CHECK: s_mov_b64 vcc, s[2:3]    ; encoding: [0x02,0x01,0xea,0xbe]
+0x02,0x01,0xea,0xbe
+
+# CHECK: s_mov_b64 exec, s[2:3]    ; encoding: [0x02,0x01,0xfe,0xbe]
+0x02,0x01,0xfe,0xbe
+
+# CHECK: s_mov_b64 s[10:11], s[4:5]    ; encoding: [0x04,0x01,0x8a,0xbe]
+0x04,0x01,0x8a,0xbe
+
+# CHECK: s_mov_b64 s[10:11], s[100:101]    ; encoding: [0x64,0x01,0x8a,0xbe]
+0x64,0x01,0x8a,0xbe
+
+# CHECK: s_mov_b64 s[10:11], flat_scratch    ; encoding: [0x66,0x01,0x8a,0xbe]
+0x66,0x01,0x8a,0xbe
+
+# CHECK: s_mov_b64 s[10:11], vcc    ; encoding: [0x6a,0x01,0x8a,0xbe]
+0x6a,0x01,0x8a,0xbe
+
+# CHECK: s_mov_b64 s[10:11], exec    ; encoding: [0x7e,0x01,0x8a,0xbe]
+0x7e,0x01,0x8a,0xbe
+
+# CHECK: s_mov_b64 s[10:11], 0    ; encoding: [0x80,0x01,0x8a,0xbe]
+0x80,0x01,0x8a,0xbe
+
+# CHECK: s_mov_b64 s[10:11], -1    ; encoding: [0xc1,0x01,0x8a,0xbe]
+0xc1,0x01,0x8a,0xbe
+
+# CHECK: s_mov_b64 s[10:11], 0.5    ; encoding: [0xf0,0x01,0x8a,0xbe]
+0xf0,0x01,0x8a,0xbe
+
+# CHECK: s_mov_b64 s[10:11], -4.0    ; encoding: [0xf7,0x01,0x8a,0xbe]
+0xf7,0x01,0x8a,0xbe
+
+# CHECK: s_mov_b64 s[10:11], 0xaf123456    ; encoding: [0xff,0x01,0x8a,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x01,0x8a,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_mov_b64 s[10:11], 0x3f717273    ; encoding: [0xff,0x01,0x8a,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x01,0x8a,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmov_b32 s5, s1    ; encoding: [0x01,0x02,0x85,0xbe]
+0x01,0x02,0x85,0xbe
+
+# CHECK: s_cmov_b32 s101, s1    ; encoding: [0x01,0x02,0xe5,0xbe]
+0x01,0x02,0xe5,0xbe
+
+# CHECK: s_cmov_b32 flat_scratch_lo, s1    ; encoding: [0x01,0x02,0xe6,0xbe]
+0x01,0x02,0xe6,0xbe
+
+# CHECK: s_cmov_b32 flat_scratch_hi, s1    ; encoding: [0x01,0x02,0xe7,0xbe]
+0x01,0x02,0xe7,0xbe
+
+# CHECK: s_cmov_b32 vcc_lo, s1    ; encoding: [0x01,0x02,0xea,0xbe]
+0x01,0x02,0xea,0xbe
+
+# CHECK: s_cmov_b32 vcc_hi, s1    ; encoding: [0x01,0x02,0xeb,0xbe]
+0x01,0x02,0xeb,0xbe
+
+# CHECK: s_cmov_b32 m0, s1    ; encoding: [0x01,0x02,0xfc,0xbe]
+0x01,0x02,0xfc,0xbe
+
+# CHECK: s_cmov_b32 exec_lo, s1    ; encoding: [0x01,0x02,0xfe,0xbe]
+0x01,0x02,0xfe,0xbe
+
+# CHECK: s_cmov_b32 exec_hi, s1    ; encoding: [0x01,0x02,0xff,0xbe]
+0x01,0x02,0xff,0xbe
+
+# CHECK: s_cmov_b32 s5, s101    ; encoding: [0x65,0x02,0x85,0xbe]
+0x65,0x02,0x85,0xbe
+
+# CHECK: s_cmov_b32 s5, flat_scratch_lo    ; encoding: [0x66,0x02,0x85,0xbe]
+0x66,0x02,0x85,0xbe
+
+# CHECK: s_cmov_b32 s5, flat_scratch_hi    ; encoding: [0x67,0x02,0x85,0xbe]
+0x67,0x02,0x85,0xbe
+
+# CHECK: s_cmov_b32 s5, vcc_lo    ; encoding: [0x6a,0x02,0x85,0xbe]
+0x6a,0x02,0x85,0xbe
+
+# CHECK: s_cmov_b32 s5, vcc_hi    ; encoding: [0x6b,0x02,0x85,0xbe]
+0x6b,0x02,0x85,0xbe
+
+# CHECK: s_cmov_b32 s5, m0    ; encoding: [0x7c,0x02,0x85,0xbe]
+0x7c,0x02,0x85,0xbe
+
+# CHECK: s_cmov_b32 s5, exec_lo    ; encoding: [0x7e,0x02,0x85,0xbe]
+0x7e,0x02,0x85,0xbe
+
+# CHECK: s_cmov_b32 s5, exec_hi    ; encoding: [0x7f,0x02,0x85,0xbe]
+0x7f,0x02,0x85,0xbe
+
+# CHECK: s_cmov_b32 s5, 0    ; encoding: [0x80,0x02,0x85,0xbe]
+0x80,0x02,0x85,0xbe
+
+# CHECK: s_cmov_b32 s5, -1    ; encoding: [0xc1,0x02,0x85,0xbe]
+0xc1,0x02,0x85,0xbe
+
+# CHECK: s_cmov_b32 s5, 0.5    ; encoding: [0xf0,0x02,0x85,0xbe]
+0xf0,0x02,0x85,0xbe
+
+# CHECK: s_cmov_b32 s5, -4.0    ; encoding: [0xf7,0x02,0x85,0xbe]
+0xf7,0x02,0x85,0xbe
+
+# CHECK: s_cmov_b32 s5, 0xaf123456    ; encoding: [0xff,0x02,0x85,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x85,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmov_b32 s5, 0x3f717273    ; encoding: [0xff,0x02,0x85,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x85,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmov_b64 s[10:11], s[2:3]    ; encoding: [0x02,0x03,0x8a,0xbe]
+0x02,0x03,0x8a,0xbe
+
+# CHECK: s_cmov_b64 s[12:13], s[2:3]    ; encoding: [0x02,0x03,0x8c,0xbe]
+0x02,0x03,0x8c,0xbe
+
+# CHECK: s_cmov_b64 s[100:101], s[2:3]    ; encoding: [0x02,0x03,0xe4,0xbe]
+0x02,0x03,0xe4,0xbe
+
+# CHECK: s_cmov_b64 flat_scratch, s[2:3]    ; encoding: [0x02,0x03,0xe6,0xbe]
+0x02,0x03,0xe6,0xbe
+
+# CHECK: s_cmov_b64 vcc, s[2:3]    ; encoding: [0x02,0x03,0xea,0xbe]
+0x02,0x03,0xea,0xbe
+
+# CHECK: s_cmov_b64 exec, s[2:3]    ; encoding: [0x02,0x03,0xfe,0xbe]
+0x02,0x03,0xfe,0xbe
+
+# CHECK: s_cmov_b64 s[10:11], s[4:5]    ; encoding: [0x04,0x03,0x8a,0xbe]
+0x04,0x03,0x8a,0xbe
+
+# CHECK: s_cmov_b64 s[10:11], s[100:101]    ; encoding: [0x64,0x03,0x8a,0xbe]
+0x64,0x03,0x8a,0xbe
+
+# CHECK: s_cmov_b64 s[10:11], flat_scratch    ; encoding: [0x66,0x03,0x8a,0xbe]
+0x66,0x03,0x8a,0xbe
+
+# CHECK: s_cmov_b64 s[10:11], vcc    ; encoding: [0x6a,0x03,0x8a,0xbe]
+0x6a,0x03,0x8a,0xbe
+
+# CHECK: s_cmov_b64 s[10:11], exec    ; encoding: [0x7e,0x03,0x8a,0xbe]
+0x7e,0x03,0x8a,0xbe
+
+# CHECK: s_cmov_b64 s[10:11], 0    ; encoding: [0x80,0x03,0x8a,0xbe]
+0x80,0x03,0x8a,0xbe
+
+# CHECK: s_cmov_b64 s[10:11], -1    ; encoding: [0xc1,0x03,0x8a,0xbe]
+0xc1,0x03,0x8a,0xbe
+
+# CHECK: s_cmov_b64 s[10:11], 0.5    ; encoding: [0xf0,0x03,0x8a,0xbe]
+0xf0,0x03,0x8a,0xbe
+
+# CHECK: s_cmov_b64 s[10:11], -4.0    ; encoding: [0xf7,0x03,0x8a,0xbe]
+0xf7,0x03,0x8a,0xbe
+
+# CHECK: s_cmov_b64 s[10:11], 0xaf123456    ; encoding: [0xff,0x03,0x8a,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x03,0x8a,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmov_b64 s[10:11], 0x3f717273    ; encoding: [0xff,0x03,0x8a,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x03,0x8a,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_not_b32 s5, s1    ; encoding: [0x01,0x04,0x85,0xbe]
+0x01,0x04,0x85,0xbe
+
+# CHECK: s_not_b32 s101, s1    ; encoding: [0x01,0x04,0xe5,0xbe]
+0x01,0x04,0xe5,0xbe
+
+# CHECK: s_not_b32 flat_scratch_lo, s1    ; encoding: [0x01,0x04,0xe6,0xbe]
+0x01,0x04,0xe6,0xbe
+
+# CHECK: s_not_b32 flat_scratch_hi, s1    ; encoding: [0x01,0x04,0xe7,0xbe]
+0x01,0x04,0xe7,0xbe
+
+# CHECK: s_not_b32 vcc_lo, s1    ; encoding: [0x01,0x04,0xea,0xbe]
+0x01,0x04,0xea,0xbe
+
+# CHECK: s_not_b32 vcc_hi, s1    ; encoding: [0x01,0x04,0xeb,0xbe]
+0x01,0x04,0xeb,0xbe
+
+# CHECK: s_not_b32 m0, s1    ; encoding: [0x01,0x04,0xfc,0xbe]
+0x01,0x04,0xfc,0xbe
+
+# CHECK: s_not_b32 exec_lo, s1    ; encoding: [0x01,0x04,0xfe,0xbe]
+0x01,0x04,0xfe,0xbe
+
+# CHECK: s_not_b32 exec_hi, s1    ; encoding: [0x01,0x04,0xff,0xbe]
+0x01,0x04,0xff,0xbe
+
+# CHECK: s_not_b32 s5, s101    ; encoding: [0x65,0x04,0x85,0xbe]
+0x65,0x04,0x85,0xbe
+
+# CHECK: s_not_b32 s5, flat_scratch_lo    ; encoding: [0x66,0x04,0x85,0xbe]
+0x66,0x04,0x85,0xbe
+
+# CHECK: s_not_b32 s5, flat_scratch_hi    ; encoding: [0x67,0x04,0x85,0xbe]
+0x67,0x04,0x85,0xbe
+
+# CHECK: s_not_b32 s5, vcc_lo    ; encoding: [0x6a,0x04,0x85,0xbe]
+0x6a,0x04,0x85,0xbe
+
+# CHECK: s_not_b32 s5, vcc_hi    ; encoding: [0x6b,0x04,0x85,0xbe]
+0x6b,0x04,0x85,0xbe
+
+# CHECK: s_not_b32 s5, m0    ; encoding: [0x7c,0x04,0x85,0xbe]
+0x7c,0x04,0x85,0xbe
+
+# CHECK: s_not_b32 s5, exec_lo    ; encoding: [0x7e,0x04,0x85,0xbe]
+0x7e,0x04,0x85,0xbe
+
+# CHECK: s_not_b32 s5, exec_hi    ; encoding: [0x7f,0x04,0x85,0xbe]
+0x7f,0x04,0x85,0xbe
+
+# CHECK: s_not_b32 s5, 0    ; encoding: [0x80,0x04,0x85,0xbe]
+0x80,0x04,0x85,0xbe
+
+# CHECK: s_not_b32 s5, -1    ; encoding: [0xc1,0x04,0x85,0xbe]
+0xc1,0x04,0x85,0xbe
+
+# CHECK: s_not_b32 s5, 0.5    ; encoding: [0xf0,0x04,0x85,0xbe]
+0xf0,0x04,0x85,0xbe
+
+# CHECK: s_not_b32 s5, -4.0    ; encoding: [0xf7,0x04,0x85,0xbe]
+0xf7,0x04,0x85,0xbe
+
+# CHECK: s_not_b32 s5, 0xaf123456    ; encoding: [0xff,0x04,0x85,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x04,0x85,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_not_b32 s5, 0x3f717273    ; encoding: [0xff,0x04,0x85,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x04,0x85,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_not_b64 s[10:11], s[2:3]    ; encoding: [0x02,0x05,0x8a,0xbe]
+0x02,0x05,0x8a,0xbe
+
+# CHECK: s_not_b64 s[12:13], s[2:3]    ; encoding: [0x02,0x05,0x8c,0xbe]
+0x02,0x05,0x8c,0xbe
+
+# CHECK: s_not_b64 s[100:101], s[2:3]    ; encoding: [0x02,0x05,0xe4,0xbe]
+0x02,0x05,0xe4,0xbe
+
+# CHECK: s_not_b64 flat_scratch, s[2:3]    ; encoding: [0x02,0x05,0xe6,0xbe]
+0x02,0x05,0xe6,0xbe
+
+# CHECK: s_not_b64 vcc, s[2:3]    ; encoding: [0x02,0x05,0xea,0xbe]
+0x02,0x05,0xea,0xbe
+
+# CHECK: s_not_b64 exec, s[2:3]    ; encoding: [0x02,0x05,0xfe,0xbe]
+0x02,0x05,0xfe,0xbe
+
+# CHECK: s_not_b64 s[10:11], s[4:5]    ; encoding: [0x04,0x05,0x8a,0xbe]
+0x04,0x05,0x8a,0xbe
+
+# CHECK: s_not_b64 s[10:11], s[100:101]    ; encoding: [0x64,0x05,0x8a,0xbe]
+0x64,0x05,0x8a,0xbe
+
+# CHECK: s_not_b64 s[10:11], flat_scratch    ; encoding: [0x66,0x05,0x8a,0xbe]
+0x66,0x05,0x8a,0xbe
+
+# CHECK: s_not_b64 s[10:11], vcc    ; encoding: [0x6a,0x05,0x8a,0xbe]
+0x6a,0x05,0x8a,0xbe
+
+# CHECK: s_not_b64 s[10:11], exec    ; encoding: [0x7e,0x05,0x8a,0xbe]
+0x7e,0x05,0x8a,0xbe
+
+# CHECK: s_not_b64 s[10:11], 0    ; encoding: [0x80,0x05,0x8a,0xbe]
+0x80,0x05,0x8a,0xbe
+
+# CHECK: s_not_b64 s[10:11], -1    ; encoding: [0xc1,0x05,0x8a,0xbe]
+0xc1,0x05,0x8a,0xbe
+
+# CHECK: s_not_b64 s[10:11], 0.5    ; encoding: [0xf0,0x05,0x8a,0xbe]
+0xf0,0x05,0x8a,0xbe
+
+# CHECK: s_not_b64 s[10:11], -4.0    ; encoding: [0xf7,0x05,0x8a,0xbe]
+0xf7,0x05,0x8a,0xbe
+
+# CHECK: s_not_b64 s[10:11], 0xaf123456    ; encoding: [0xff,0x05,0x8a,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x05,0x8a,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_not_b64 s[10:11], 0x3f717273    ; encoding: [0xff,0x05,0x8a,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x05,0x8a,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_wqm_b32 s5, s1    ; encoding: [0x01,0x06,0x85,0xbe]
+0x01,0x06,0x85,0xbe
+
+# CHECK: s_wqm_b32 s101, s1    ; encoding: [0x01,0x06,0xe5,0xbe]
+0x01,0x06,0xe5,0xbe
+
+# CHECK: s_wqm_b32 flat_scratch_lo, s1    ; encoding: [0x01,0x06,0xe6,0xbe]
+0x01,0x06,0xe6,0xbe
+
+# CHECK: s_wqm_b32 flat_scratch_hi, s1    ; encoding: [0x01,0x06,0xe7,0xbe]
+0x01,0x06,0xe7,0xbe
+
+# CHECK: s_wqm_b32 vcc_lo, s1    ; encoding: [0x01,0x06,0xea,0xbe]
+0x01,0x06,0xea,0xbe
+
+# CHECK: s_wqm_b32 vcc_hi, s1    ; encoding: [0x01,0x06,0xeb,0xbe]
+0x01,0x06,0xeb,0xbe
+
+# CHECK: s_wqm_b32 m0, s1    ; encoding: [0x01,0x06,0xfc,0xbe]
+0x01,0x06,0xfc,0xbe
+
+# CHECK: s_wqm_b32 exec_lo, s1    ; encoding: [0x01,0x06,0xfe,0xbe]
+0x01,0x06,0xfe,0xbe
+
+# CHECK: s_wqm_b32 exec_hi, s1    ; encoding: [0x01,0x06,0xff,0xbe]
+0x01,0x06,0xff,0xbe
+
+# CHECK: s_wqm_b32 s5, s101    ; encoding: [0x65,0x06,0x85,0xbe]
+0x65,0x06,0x85,0xbe
+
+# CHECK: s_wqm_b32 s5, flat_scratch_lo    ; encoding: [0x66,0x06,0x85,0xbe]
+0x66,0x06,0x85,0xbe
+
+# CHECK: s_wqm_b32 s5, flat_scratch_hi    ; encoding: [0x67,0x06,0x85,0xbe]
+0x67,0x06,0x85,0xbe
+
+# CHECK: s_wqm_b32 s5, vcc_lo    ; encoding: [0x6a,0x06,0x85,0xbe]
+0x6a,0x06,0x85,0xbe
+
+# CHECK: s_wqm_b32 s5, vcc_hi    ; encoding: [0x6b,0x06,0x85,0xbe]
+0x6b,0x06,0x85,0xbe
+
+# CHECK: s_wqm_b32 s5, m0    ; encoding: [0x7c,0x06,0x85,0xbe]
+0x7c,0x06,0x85,0xbe
+
+# CHECK: s_wqm_b32 s5, exec_lo    ; encoding: [0x7e,0x06,0x85,0xbe]
+0x7e,0x06,0x85,0xbe
+
+# CHECK: s_wqm_b32 s5, exec_hi    ; encoding: [0x7f,0x06,0x85,0xbe]
+0x7f,0x06,0x85,0xbe
+
+# CHECK: s_wqm_b32 s5, 0    ; encoding: [0x80,0x06,0x85,0xbe]
+0x80,0x06,0x85,0xbe
+
+# CHECK: s_wqm_b32 s5, -1    ; encoding: [0xc1,0x06,0x85,0xbe]
+0xc1,0x06,0x85,0xbe
+
+# CHECK: s_wqm_b32 s5, 0.5    ; encoding: [0xf0,0x06,0x85,0xbe]
+0xf0,0x06,0x85,0xbe
+
+# CHECK: s_wqm_b32 s5, -4.0    ; encoding: [0xf7,0x06,0x85,0xbe]
+0xf7,0x06,0x85,0xbe
+
+# CHECK: s_wqm_b32 s5, 0xaf123456    ; encoding: [0xff,0x06,0x85,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x06,0x85,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_wqm_b32 s5, 0x3f717273    ; encoding: [0xff,0x06,0x85,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x06,0x85,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_wqm_b64 s[10:11], s[2:3]    ; encoding: [0x02,0x07,0x8a,0xbe]
+0x02,0x07,0x8a,0xbe
+
+# CHECK: s_wqm_b64 s[12:13], s[2:3]    ; encoding: [0x02,0x07,0x8c,0xbe]
+0x02,0x07,0x8c,0xbe
+
+# CHECK: s_wqm_b64 s[100:101], s[2:3]    ; encoding: [0x02,0x07,0xe4,0xbe]
+0x02,0x07,0xe4,0xbe
+
+# CHECK: s_wqm_b64 flat_scratch, s[2:3]    ; encoding: [0x02,0x07,0xe6,0xbe]
+0x02,0x07,0xe6,0xbe
+
+# CHECK: s_wqm_b64 vcc, s[2:3]    ; encoding: [0x02,0x07,0xea,0xbe]
+0x02,0x07,0xea,0xbe
+
+# CHECK: s_wqm_b64 exec, s[2:3]    ; encoding: [0x02,0x07,0xfe,0xbe]
+0x02,0x07,0xfe,0xbe
+
+# CHECK: s_wqm_b64 s[10:11], s[4:5]    ; encoding: [0x04,0x07,0x8a,0xbe]
+0x04,0x07,0x8a,0xbe
+
+# CHECK: s_wqm_b64 s[10:11], s[100:101]    ; encoding: [0x64,0x07,0x8a,0xbe]
+0x64,0x07,0x8a,0xbe
+
+# CHECK: s_wqm_b64 s[10:11], flat_scratch    ; encoding: [0x66,0x07,0x8a,0xbe]
+0x66,0x07,0x8a,0xbe
+
+# CHECK: s_wqm_b64 s[10:11], vcc    ; encoding: [0x6a,0x07,0x8a,0xbe]
+0x6a,0x07,0x8a,0xbe
+
+# CHECK: s_wqm_b64 s[10:11], exec    ; encoding: [0x7e,0x07,0x8a,0xbe]
+0x7e,0x07,0x8a,0xbe
+
+# CHECK: s_wqm_b64 s[10:11], 0    ; encoding: [0x80,0x07,0x8a,0xbe]
+0x80,0x07,0x8a,0xbe
+
+# CHECK: s_wqm_b64 s[10:11], -1    ; encoding: [0xc1,0x07,0x8a,0xbe]
+0xc1,0x07,0x8a,0xbe
+
+# CHECK: s_wqm_b64 s[10:11], 0.5    ; encoding: [0xf0,0x07,0x8a,0xbe]
+0xf0,0x07,0x8a,0xbe
+
+# CHECK: s_wqm_b64 s[10:11], -4.0    ; encoding: [0xf7,0x07,0x8a,0xbe]
+0xf7,0x07,0x8a,0xbe
+
+# CHECK: s_wqm_b64 s[10:11], 0xaf123456    ; encoding: [0xff,0x07,0x8a,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x07,0x8a,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_wqm_b64 s[10:11], 0x3f717273    ; encoding: [0xff,0x07,0x8a,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x07,0x8a,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_brev_b32 s5, s1    ; encoding: [0x01,0x08,0x85,0xbe]
+0x01,0x08,0x85,0xbe
+
+# CHECK: s_brev_b32 s101, s1    ; encoding: [0x01,0x08,0xe5,0xbe]
+0x01,0x08,0xe5,0xbe
+
+# CHECK: s_brev_b32 flat_scratch_lo, s1    ; encoding: [0x01,0x08,0xe6,0xbe]
+0x01,0x08,0xe6,0xbe
+
+# CHECK: s_brev_b32 flat_scratch_hi, s1    ; encoding: [0x01,0x08,0xe7,0xbe]
+0x01,0x08,0xe7,0xbe
+
+# CHECK: s_brev_b32 vcc_lo, s1    ; encoding: [0x01,0x08,0xea,0xbe]
+0x01,0x08,0xea,0xbe
+
+# CHECK: s_brev_b32 vcc_hi, s1    ; encoding: [0x01,0x08,0xeb,0xbe]
+0x01,0x08,0xeb,0xbe
+
+# CHECK: s_brev_b32 m0, s1    ; encoding: [0x01,0x08,0xfc,0xbe]
+0x01,0x08,0xfc,0xbe
+
+# CHECK: s_brev_b32 exec_lo, s1    ; encoding: [0x01,0x08,0xfe,0xbe]
+0x01,0x08,0xfe,0xbe
+
+# CHECK: s_brev_b32 exec_hi, s1    ; encoding: [0x01,0x08,0xff,0xbe]
+0x01,0x08,0xff,0xbe
+
+# CHECK: s_brev_b32 s5, s101    ; encoding: [0x65,0x08,0x85,0xbe]
+0x65,0x08,0x85,0xbe
+
+# CHECK: s_brev_b32 s5, flat_scratch_lo    ; encoding: [0x66,0x08,0x85,0xbe]
+0x66,0x08,0x85,0xbe
+
+# CHECK: s_brev_b32 s5, flat_scratch_hi    ; encoding: [0x67,0x08,0x85,0xbe]
+0x67,0x08,0x85,0xbe
+
+# CHECK: s_brev_b32 s5, vcc_lo    ; encoding: [0x6a,0x08,0x85,0xbe]
+0x6a,0x08,0x85,0xbe
+
+# CHECK: s_brev_b32 s5, vcc_hi    ; encoding: [0x6b,0x08,0x85,0xbe]
+0x6b,0x08,0x85,0xbe
+
+# CHECK: s_brev_b32 s5, m0    ; encoding: [0x7c,0x08,0x85,0xbe]
+0x7c,0x08,0x85,0xbe
+
+# CHECK: s_brev_b32 s5, exec_lo    ; encoding: [0x7e,0x08,0x85,0xbe]
+0x7e,0x08,0x85,0xbe
+
+# CHECK: s_brev_b32 s5, exec_hi    ; encoding: [0x7f,0x08,0x85,0xbe]
+0x7f,0x08,0x85,0xbe
+
+# CHECK: s_brev_b32 s5, 0    ; encoding: [0x80,0x08,0x85,0xbe]
+0x80,0x08,0x85,0xbe
+
+# CHECK: s_brev_b32 s5, -1    ; encoding: [0xc1,0x08,0x85,0xbe]
+0xc1,0x08,0x85,0xbe
+
+# CHECK: s_brev_b32 s5, 0.5    ; encoding: [0xf0,0x08,0x85,0xbe]
+0xf0,0x08,0x85,0xbe
+
+# CHECK: s_brev_b32 s5, -4.0    ; encoding: [0xf7,0x08,0x85,0xbe]
+0xf7,0x08,0x85,0xbe
+
+# CHECK: s_brev_b32 s5, 0xaf123456    ; encoding: [0xff,0x08,0x85,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x08,0x85,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_brev_b32 s5, 0x3f717273    ; encoding: [0xff,0x08,0x85,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x08,0x85,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_brev_b64 s[10:11], s[2:3]    ; encoding: [0x02,0x09,0x8a,0xbe]
+0x02,0x09,0x8a,0xbe
+
+# CHECK: s_brev_b64 s[12:13], s[2:3]    ; encoding: [0x02,0x09,0x8c,0xbe]
+0x02,0x09,0x8c,0xbe
+
+# CHECK: s_brev_b64 s[100:101], s[2:3]    ; encoding: [0x02,0x09,0xe4,0xbe]
+0x02,0x09,0xe4,0xbe
+
+# CHECK: s_brev_b64 flat_scratch, s[2:3]    ; encoding: [0x02,0x09,0xe6,0xbe]
+0x02,0x09,0xe6,0xbe
+
+# CHECK: s_brev_b64 vcc, s[2:3]    ; encoding: [0x02,0x09,0xea,0xbe]
+0x02,0x09,0xea,0xbe
+
+# CHECK: s_brev_b64 exec, s[2:3]    ; encoding: [0x02,0x09,0xfe,0xbe]
+0x02,0x09,0xfe,0xbe
+
+# CHECK: s_brev_b64 s[10:11], s[4:5]    ; encoding: [0x04,0x09,0x8a,0xbe]
+0x04,0x09,0x8a,0xbe
+
+# CHECK: s_brev_b64 s[10:11], s[100:101]    ; encoding: [0x64,0x09,0x8a,0xbe]
+0x64,0x09,0x8a,0xbe
+
+# CHECK: s_brev_b64 s[10:11], flat_scratch    ; encoding: [0x66,0x09,0x8a,0xbe]
+0x66,0x09,0x8a,0xbe
+
+# CHECK: s_brev_b64 s[10:11], vcc    ; encoding: [0x6a,0x09,0x8a,0xbe]
+0x6a,0x09,0x8a,0xbe
+
+# CHECK: s_brev_b64 s[10:11], exec    ; encoding: [0x7e,0x09,0x8a,0xbe]
+0x7e,0x09,0x8a,0xbe
+
+# CHECK: s_brev_b64 s[10:11], 0    ; encoding: [0x80,0x09,0x8a,0xbe]
+0x80,0x09,0x8a,0xbe
+
+# CHECK: s_brev_b64 s[10:11], -1    ; encoding: [0xc1,0x09,0x8a,0xbe]
+0xc1,0x09,0x8a,0xbe
+
+# CHECK: s_brev_b64 s[10:11], 0.5    ; encoding: [0xf0,0x09,0x8a,0xbe]
+0xf0,0x09,0x8a,0xbe
+
+# CHECK: s_brev_b64 s[10:11], -4.0    ; encoding: [0xf7,0x09,0x8a,0xbe]
+0xf7,0x09,0x8a,0xbe
+
+# CHECK: s_brev_b64 s[10:11], 0xaf123456    ; encoding: [0xff,0x09,0x8a,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x09,0x8a,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_brev_b64 s[10:11], 0x3f717273    ; encoding: [0xff,0x09,0x8a,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x09,0x8a,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bcnt0_i32_b32 s5, s1    ; encoding: [0x01,0x0a,0x85,0xbe]
+0x01,0x0a,0x85,0xbe
+
+# CHECK: s_bcnt0_i32_b32 s101, s1    ; encoding: [0x01,0x0a,0xe5,0xbe]
+0x01,0x0a,0xe5,0xbe
+
+# CHECK: s_bcnt0_i32_b32 flat_scratch_lo, s1    ; encoding: [0x01,0x0a,0xe6,0xbe]
+0x01,0x0a,0xe6,0xbe
+
+# CHECK: s_bcnt0_i32_b32 flat_scratch_hi, s1    ; encoding: [0x01,0x0a,0xe7,0xbe]
+0x01,0x0a,0xe7,0xbe
+
+# CHECK: s_bcnt0_i32_b32 vcc_lo, s1    ; encoding: [0x01,0x0a,0xea,0xbe]
+0x01,0x0a,0xea,0xbe
+
+# CHECK: s_bcnt0_i32_b32 vcc_hi, s1    ; encoding: [0x01,0x0a,0xeb,0xbe]
+0x01,0x0a,0xeb,0xbe
+
+# CHECK: s_bcnt0_i32_b32 m0, s1    ; encoding: [0x01,0x0a,0xfc,0xbe]
+0x01,0x0a,0xfc,0xbe
+
+# CHECK: s_bcnt0_i32_b32 exec_lo, s1    ; encoding: [0x01,0x0a,0xfe,0xbe]
+0x01,0x0a,0xfe,0xbe
+
+# CHECK: s_bcnt0_i32_b32 exec_hi, s1    ; encoding: [0x01,0x0a,0xff,0xbe]
+0x01,0x0a,0xff,0xbe
+
+# CHECK: s_bcnt0_i32_b32 s5, s101    ; encoding: [0x65,0x0a,0x85,0xbe]
+0x65,0x0a,0x85,0xbe
+
+# CHECK: s_bcnt0_i32_b32 s5, flat_scratch_lo    ; encoding: [0x66,0x0a,0x85,0xbe]
+0x66,0x0a,0x85,0xbe
+
+# CHECK: s_bcnt0_i32_b32 s5, flat_scratch_hi    ; encoding: [0x67,0x0a,0x85,0xbe]
+0x67,0x0a,0x85,0xbe
+
+# CHECK: s_bcnt0_i32_b32 s5, vcc_lo    ; encoding: [0x6a,0x0a,0x85,0xbe]
+0x6a,0x0a,0x85,0xbe
+
+# CHECK: s_bcnt0_i32_b32 s5, vcc_hi    ; encoding: [0x6b,0x0a,0x85,0xbe]
+0x6b,0x0a,0x85,0xbe
+
+# CHECK: s_bcnt0_i32_b32 s5, m0    ; encoding: [0x7c,0x0a,0x85,0xbe]
+0x7c,0x0a,0x85,0xbe
+
+# CHECK: s_bcnt0_i32_b32 s5, exec_lo    ; encoding: [0x7e,0x0a,0x85,0xbe]
+0x7e,0x0a,0x85,0xbe
+
+# CHECK: s_bcnt0_i32_b32 s5, exec_hi    ; encoding: [0x7f,0x0a,0x85,0xbe]
+0x7f,0x0a,0x85,0xbe
+
+# CHECK: s_bcnt0_i32_b32 s5, 0    ; encoding: [0x80,0x0a,0x85,0xbe]
+0x80,0x0a,0x85,0xbe
+
+# CHECK: s_bcnt0_i32_b32 s5, -1    ; encoding: [0xc1,0x0a,0x85,0xbe]
+0xc1,0x0a,0x85,0xbe
+
+# CHECK: s_bcnt0_i32_b32 s5, 0.5    ; encoding: [0xf0,0x0a,0x85,0xbe]
+0xf0,0x0a,0x85,0xbe
+
+# CHECK: s_bcnt0_i32_b32 s5, -4.0    ; encoding: [0xf7,0x0a,0x85,0xbe]
+0xf7,0x0a,0x85,0xbe
+
+# CHECK: s_bcnt0_i32_b32 s5, 0xaf123456    ; encoding: [0xff,0x0a,0x85,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x0a,0x85,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bcnt0_i32_b32 s5, 0x3f717273    ; encoding: [0xff,0x0a,0x85,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x0a,0x85,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bcnt0_i32_b64 s5, s[2:3]    ; encoding: [0x02,0x0b,0x85,0xbe]
+0x02,0x0b,0x85,0xbe
+
+# CHECK: s_bcnt0_i32_b64 s101, s[2:3]    ; encoding: [0x02,0x0b,0xe5,0xbe]
+0x02,0x0b,0xe5,0xbe
+
+# CHECK: s_bcnt0_i32_b64 flat_scratch_lo, s[2:3]    ; encoding: [0x02,0x0b,0xe6,0xbe]
+0x02,0x0b,0xe6,0xbe
+
+# CHECK: s_bcnt0_i32_b64 flat_scratch_hi, s[2:3]    ; encoding: [0x02,0x0b,0xe7,0xbe]
+0x02,0x0b,0xe7,0xbe
+
+# CHECK: s_bcnt0_i32_b64 vcc_lo, s[2:3]    ; encoding: [0x02,0x0b,0xea,0xbe]
+0x02,0x0b,0xea,0xbe
+
+# CHECK: s_bcnt0_i32_b64 vcc_hi, s[2:3]    ; encoding: [0x02,0x0b,0xeb,0xbe]
+0x02,0x0b,0xeb,0xbe
+
+# CHECK: s_bcnt0_i32_b64 m0, s[2:3]    ; encoding: [0x02,0x0b,0xfc,0xbe]
+0x02,0x0b,0xfc,0xbe
+
+# CHECK: s_bcnt0_i32_b64 exec_lo, s[2:3]    ; encoding: [0x02,0x0b,0xfe,0xbe]
+0x02,0x0b,0xfe,0xbe
+
+# CHECK: s_bcnt0_i32_b64 exec_hi, s[2:3]    ; encoding: [0x02,0x0b,0xff,0xbe]
+0x02,0x0b,0xff,0xbe
+
+# CHECK: s_bcnt0_i32_b64 s5, s[4:5]    ; encoding: [0x04,0x0b,0x85,0xbe]
+0x04,0x0b,0x85,0xbe
+
+# CHECK: s_bcnt0_i32_b64 s5, s[100:101]    ; encoding: [0x64,0x0b,0x85,0xbe]
+0x64,0x0b,0x85,0xbe
+
+# CHECK: s_bcnt0_i32_b64 s5, flat_scratch    ; encoding: [0x66,0x0b,0x85,0xbe]
+0x66,0x0b,0x85,0xbe
+
+# CHECK: s_bcnt0_i32_b64 s5, vcc    ; encoding: [0x6a,0x0b,0x85,0xbe]
+0x6a,0x0b,0x85,0xbe
+
+# CHECK: s_bcnt0_i32_b64 s5, exec    ; encoding: [0x7e,0x0b,0x85,0xbe]
+0x7e,0x0b,0x85,0xbe
+
+# CHECK: s_bcnt0_i32_b64 s5, 0    ; encoding: [0x80,0x0b,0x85,0xbe]
+0x80,0x0b,0x85,0xbe
+
+# CHECK: s_bcnt0_i32_b64 s5, -1    ; encoding: [0xc1,0x0b,0x85,0xbe]
+0xc1,0x0b,0x85,0xbe
+
+# CHECK: s_bcnt0_i32_b64 s5, 0.5    ; encoding: [0xf0,0x0b,0x85,0xbe]
+0xf0,0x0b,0x85,0xbe
+
+# CHECK: s_bcnt0_i32_b64 s5, -4.0    ; encoding: [0xf7,0x0b,0x85,0xbe]
+0xf7,0x0b,0x85,0xbe
+
+# CHECK: s_bcnt0_i32_b64 s5, 0xaf123456    ; encoding: [0xff,0x0b,0x85,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x0b,0x85,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bcnt0_i32_b64 s5, 0x3f717273    ; encoding: [0xff,0x0b,0x85,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x0b,0x85,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bcnt1_i32_b32 s5, s1    ; encoding: [0x01,0x0c,0x85,0xbe]
+0x01,0x0c,0x85,0xbe
+
+# CHECK: s_bcnt1_i32_b32 s101, s1    ; encoding: [0x01,0x0c,0xe5,0xbe]
+0x01,0x0c,0xe5,0xbe
+
+# CHECK: s_bcnt1_i32_b32 flat_scratch_lo, s1    ; encoding: [0x01,0x0c,0xe6,0xbe]
+0x01,0x0c,0xe6,0xbe
+
+# CHECK: s_bcnt1_i32_b32 flat_scratch_hi, s1    ; encoding: [0x01,0x0c,0xe7,0xbe]
+0x01,0x0c,0xe7,0xbe
+
+# CHECK: s_bcnt1_i32_b32 vcc_lo, s1    ; encoding: [0x01,0x0c,0xea,0xbe]
+0x01,0x0c,0xea,0xbe
+
+# CHECK: s_bcnt1_i32_b32 vcc_hi, s1    ; encoding: [0x01,0x0c,0xeb,0xbe]
+0x01,0x0c,0xeb,0xbe
+
+# CHECK: s_bcnt1_i32_b32 m0, s1    ; encoding: [0x01,0x0c,0xfc,0xbe]
+0x01,0x0c,0xfc,0xbe
+
+# CHECK: s_bcnt1_i32_b32 exec_lo, s1    ; encoding: [0x01,0x0c,0xfe,0xbe]
+0x01,0x0c,0xfe,0xbe
+
+# CHECK: s_bcnt1_i32_b32 exec_hi, s1    ; encoding: [0x01,0x0c,0xff,0xbe]
+0x01,0x0c,0xff,0xbe
+
+# CHECK: s_bcnt1_i32_b32 s5, s101    ; encoding: [0x65,0x0c,0x85,0xbe]
+0x65,0x0c,0x85,0xbe
+
+# CHECK: s_bcnt1_i32_b32 s5, flat_scratch_lo    ; encoding: [0x66,0x0c,0x85,0xbe]
+0x66,0x0c,0x85,0xbe
+
+# CHECK: s_bcnt1_i32_b32 s5, flat_scratch_hi    ; encoding: [0x67,0x0c,0x85,0xbe]
+0x67,0x0c,0x85,0xbe
+
+# CHECK: s_bcnt1_i32_b32 s5, vcc_lo    ; encoding: [0x6a,0x0c,0x85,0xbe]
+0x6a,0x0c,0x85,0xbe
+
+# CHECK: s_bcnt1_i32_b32 s5, vcc_hi    ; encoding: [0x6b,0x0c,0x85,0xbe]
+0x6b,0x0c,0x85,0xbe
+
+# CHECK: s_bcnt1_i32_b32 s5, m0    ; encoding: [0x7c,0x0c,0x85,0xbe]
+0x7c,0x0c,0x85,0xbe
+
+# CHECK: s_bcnt1_i32_b32 s5, exec_lo    ; encoding: [0x7e,0x0c,0x85,0xbe]
+0x7e,0x0c,0x85,0xbe
+
+# CHECK: s_bcnt1_i32_b32 s5, exec_hi    ; encoding: [0x7f,0x0c,0x85,0xbe]
+0x7f,0x0c,0x85,0xbe
+
+# CHECK: s_bcnt1_i32_b32 s5, 0    ; encoding: [0x80,0x0c,0x85,0xbe]
+0x80,0x0c,0x85,0xbe
+
+# CHECK: s_bcnt1_i32_b32 s5, -1    ; encoding: [0xc1,0x0c,0x85,0xbe]
+0xc1,0x0c,0x85,0xbe
+
+# CHECK: s_bcnt1_i32_b32 s5, 0.5    ; encoding: [0xf0,0x0c,0x85,0xbe]
+0xf0,0x0c,0x85,0xbe
+
+# CHECK: s_bcnt1_i32_b32 s5, -4.0    ; encoding: [0xf7,0x0c,0x85,0xbe]
+0xf7,0x0c,0x85,0xbe
+
+# CHECK: s_bcnt1_i32_b32 s5, 0xaf123456    ; encoding: [0xff,0x0c,0x85,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x0c,0x85,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bcnt1_i32_b32 s5, 0x3f717273    ; encoding: [0xff,0x0c,0x85,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x0c,0x85,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bcnt1_i32_b64 s5, s[2:3]    ; encoding: [0x02,0x0d,0x85,0xbe]
+0x02,0x0d,0x85,0xbe
+
+# CHECK: s_bcnt1_i32_b64 s101, s[2:3]    ; encoding: [0x02,0x0d,0xe5,0xbe]
+0x02,0x0d,0xe5,0xbe
+
+# CHECK: s_bcnt1_i32_b64 flat_scratch_lo, s[2:3]    ; encoding: [0x02,0x0d,0xe6,0xbe]
+0x02,0x0d,0xe6,0xbe
+
+# CHECK: s_bcnt1_i32_b64 flat_scratch_hi, s[2:3]    ; encoding: [0x02,0x0d,0xe7,0xbe]
+0x02,0x0d,0xe7,0xbe
+
+# CHECK: s_bcnt1_i32_b64 vcc_lo, s[2:3]    ; encoding: [0x02,0x0d,0xea,0xbe]
+0x02,0x0d,0xea,0xbe
+
+# CHECK: s_bcnt1_i32_b64 vcc_hi, s[2:3]    ; encoding: [0x02,0x0d,0xeb,0xbe]
+0x02,0x0d,0xeb,0xbe
+
+# CHECK: s_bcnt1_i32_b64 m0, s[2:3]    ; encoding: [0x02,0x0d,0xfc,0xbe]
+0x02,0x0d,0xfc,0xbe
+
+# CHECK: s_bcnt1_i32_b64 exec_lo, s[2:3]    ; encoding: [0x02,0x0d,0xfe,0xbe]
+0x02,0x0d,0xfe,0xbe
+
+# CHECK: s_bcnt1_i32_b64 exec_hi, s[2:3]    ; encoding: [0x02,0x0d,0xff,0xbe]
+0x02,0x0d,0xff,0xbe
+
+# CHECK: s_bcnt1_i32_b64 s5, s[4:5]    ; encoding: [0x04,0x0d,0x85,0xbe]
+0x04,0x0d,0x85,0xbe
+
+# CHECK: s_bcnt1_i32_b64 s5, s[100:101]    ; encoding: [0x64,0x0d,0x85,0xbe]
+0x64,0x0d,0x85,0xbe
+
+# CHECK: s_bcnt1_i32_b64 s5, flat_scratch    ; encoding: [0x66,0x0d,0x85,0xbe]
+0x66,0x0d,0x85,0xbe
+
+# CHECK: s_bcnt1_i32_b64 s5, vcc    ; encoding: [0x6a,0x0d,0x85,0xbe]
+0x6a,0x0d,0x85,0xbe
+
+# CHECK: s_bcnt1_i32_b64 s5, exec    ; encoding: [0x7e,0x0d,0x85,0xbe]
+0x7e,0x0d,0x85,0xbe
+
+# CHECK: s_bcnt1_i32_b64 s5, 0    ; encoding: [0x80,0x0d,0x85,0xbe]
+0x80,0x0d,0x85,0xbe
+
+# CHECK: s_bcnt1_i32_b64 s5, -1    ; encoding: [0xc1,0x0d,0x85,0xbe]
+0xc1,0x0d,0x85,0xbe
+
+# CHECK: s_bcnt1_i32_b64 s5, 0.5    ; encoding: [0xf0,0x0d,0x85,0xbe]
+0xf0,0x0d,0x85,0xbe
+
+# CHECK: s_bcnt1_i32_b64 s5, -4.0    ; encoding: [0xf7,0x0d,0x85,0xbe]
+0xf7,0x0d,0x85,0xbe
+
+# CHECK: s_bcnt1_i32_b64 s5, 0xaf123456    ; encoding: [0xff,0x0d,0x85,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x0d,0x85,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bcnt1_i32_b64 s5, 0x3f717273    ; encoding: [0xff,0x0d,0x85,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x0d,0x85,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_ff0_i32_b32 s5, s1    ; encoding: [0x01,0x0e,0x85,0xbe]
+0x01,0x0e,0x85,0xbe
+
+# CHECK: s_ff0_i32_b32 s101, s1    ; encoding: [0x01,0x0e,0xe5,0xbe]
+0x01,0x0e,0xe5,0xbe
+
+# CHECK: s_ff0_i32_b32 flat_scratch_lo, s1    ; encoding: [0x01,0x0e,0xe6,0xbe]
+0x01,0x0e,0xe6,0xbe
+
+# CHECK: s_ff0_i32_b32 flat_scratch_hi, s1    ; encoding: [0x01,0x0e,0xe7,0xbe]
+0x01,0x0e,0xe7,0xbe
+
+# CHECK: s_ff0_i32_b32 vcc_lo, s1    ; encoding: [0x01,0x0e,0xea,0xbe]
+0x01,0x0e,0xea,0xbe
+
+# CHECK: s_ff0_i32_b32 vcc_hi, s1    ; encoding: [0x01,0x0e,0xeb,0xbe]
+0x01,0x0e,0xeb,0xbe
+
+# CHECK: s_ff0_i32_b32 m0, s1    ; encoding: [0x01,0x0e,0xfc,0xbe]
+0x01,0x0e,0xfc,0xbe
+
+# CHECK: s_ff0_i32_b32 exec_lo, s1    ; encoding: [0x01,0x0e,0xfe,0xbe]
+0x01,0x0e,0xfe,0xbe
+
+# CHECK: s_ff0_i32_b32 exec_hi, s1    ; encoding: [0x01,0x0e,0xff,0xbe]
+0x01,0x0e,0xff,0xbe
+
+# CHECK: s_ff0_i32_b32 s5, s101    ; encoding: [0x65,0x0e,0x85,0xbe]
+0x65,0x0e,0x85,0xbe
+
+# CHECK: s_ff0_i32_b32 s5, flat_scratch_lo    ; encoding: [0x66,0x0e,0x85,0xbe]
+0x66,0x0e,0x85,0xbe
+
+# CHECK: s_ff0_i32_b32 s5, flat_scratch_hi    ; encoding: [0x67,0x0e,0x85,0xbe]
+0x67,0x0e,0x85,0xbe
+
+# CHECK: s_ff0_i32_b32 s5, vcc_lo    ; encoding: [0x6a,0x0e,0x85,0xbe]
+0x6a,0x0e,0x85,0xbe
+
+# CHECK: s_ff0_i32_b32 s5, vcc_hi    ; encoding: [0x6b,0x0e,0x85,0xbe]
+0x6b,0x0e,0x85,0xbe
+
+# CHECK: s_ff0_i32_b32 s5, m0    ; encoding: [0x7c,0x0e,0x85,0xbe]
+0x7c,0x0e,0x85,0xbe
+
+# CHECK: s_ff0_i32_b32 s5, exec_lo    ; encoding: [0x7e,0x0e,0x85,0xbe]
+0x7e,0x0e,0x85,0xbe
+
+# CHECK: s_ff0_i32_b32 s5, exec_hi    ; encoding: [0x7f,0x0e,0x85,0xbe]
+0x7f,0x0e,0x85,0xbe
+
+# CHECK: s_ff0_i32_b32 s5, 0    ; encoding: [0x80,0x0e,0x85,0xbe]
+0x80,0x0e,0x85,0xbe
+
+# CHECK: s_ff0_i32_b32 s5, -1    ; encoding: [0xc1,0x0e,0x85,0xbe]
+0xc1,0x0e,0x85,0xbe
+
+# CHECK: s_ff0_i32_b32 s5, 0.5    ; encoding: [0xf0,0x0e,0x85,0xbe]
+0xf0,0x0e,0x85,0xbe
+
+# CHECK: s_ff0_i32_b32 s5, -4.0    ; encoding: [0xf7,0x0e,0x85,0xbe]
+0xf7,0x0e,0x85,0xbe
+
+# CHECK: s_ff0_i32_b32 s5, 0xaf123456    ; encoding: [0xff,0x0e,0x85,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x0e,0x85,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_ff0_i32_b32 s5, 0x3f717273    ; encoding: [0xff,0x0e,0x85,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x0e,0x85,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_ff0_i32_b64 s5, s[2:3]    ; encoding: [0x02,0x0f,0x85,0xbe]
+0x02,0x0f,0x85,0xbe
+
+# CHECK: s_ff0_i32_b64 s101, s[2:3]    ; encoding: [0x02,0x0f,0xe5,0xbe]
+0x02,0x0f,0xe5,0xbe
+
+# CHECK: s_ff0_i32_b64 flat_scratch_lo, s[2:3]    ; encoding: [0x02,0x0f,0xe6,0xbe]
+0x02,0x0f,0xe6,0xbe
+
+# CHECK: s_ff0_i32_b64 flat_scratch_hi, s[2:3]    ; encoding: [0x02,0x0f,0xe7,0xbe]
+0x02,0x0f,0xe7,0xbe
+
+# CHECK: s_ff0_i32_b64 vcc_lo, s[2:3]    ; encoding: [0x02,0x0f,0xea,0xbe]
+0x02,0x0f,0xea,0xbe
+
+# CHECK: s_ff0_i32_b64 vcc_hi, s[2:3]    ; encoding: [0x02,0x0f,0xeb,0xbe]
+0x02,0x0f,0xeb,0xbe
+
+# CHECK: s_ff0_i32_b64 m0, s[2:3]    ; encoding: [0x02,0x0f,0xfc,0xbe]
+0x02,0x0f,0xfc,0xbe
+
+# CHECK: s_ff0_i32_b64 exec_lo, s[2:3]    ; encoding: [0x02,0x0f,0xfe,0xbe]
+0x02,0x0f,0xfe,0xbe
+
+# CHECK: s_ff0_i32_b64 exec_hi, s[2:3]    ; encoding: [0x02,0x0f,0xff,0xbe]
+0x02,0x0f,0xff,0xbe
+
+# CHECK: s_ff0_i32_b64 s5, s[4:5]    ; encoding: [0x04,0x0f,0x85,0xbe]
+0x04,0x0f,0x85,0xbe
+
+# CHECK: s_ff0_i32_b64 s5, s[100:101]    ; encoding: [0x64,0x0f,0x85,0xbe]
+0x64,0x0f,0x85,0xbe
+
+# CHECK: s_ff0_i32_b64 s5, flat_scratch    ; encoding: [0x66,0x0f,0x85,0xbe]
+0x66,0x0f,0x85,0xbe
+
+# CHECK: s_ff0_i32_b64 s5, vcc    ; encoding: [0x6a,0x0f,0x85,0xbe]
+0x6a,0x0f,0x85,0xbe
+
+# CHECK: s_ff0_i32_b64 s5, exec    ; encoding: [0x7e,0x0f,0x85,0xbe]
+0x7e,0x0f,0x85,0xbe
+
+# CHECK: s_ff0_i32_b64 s5, 0    ; encoding: [0x80,0x0f,0x85,0xbe]
+0x80,0x0f,0x85,0xbe
+
+# CHECK: s_ff0_i32_b64 s5, -1    ; encoding: [0xc1,0x0f,0x85,0xbe]
+0xc1,0x0f,0x85,0xbe
+
+# CHECK: s_ff0_i32_b64 s5, 0.5    ; encoding: [0xf0,0x0f,0x85,0xbe]
+0xf0,0x0f,0x85,0xbe
+
+# CHECK: s_ff0_i32_b64 s5, -4.0    ; encoding: [0xf7,0x0f,0x85,0xbe]
+0xf7,0x0f,0x85,0xbe
+
+# CHECK: s_ff0_i32_b64 s5, 0xaf123456    ; encoding: [0xff,0x0f,0x85,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x0f,0x85,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_ff0_i32_b64 s5, 0x3f717273    ; encoding: [0xff,0x0f,0x85,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x0f,0x85,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_ff1_i32_b32 s5, s1    ; encoding: [0x01,0x10,0x85,0xbe]
+0x01,0x10,0x85,0xbe
+
+# CHECK: s_ff1_i32_b32 s101, s1    ; encoding: [0x01,0x10,0xe5,0xbe]
+0x01,0x10,0xe5,0xbe
+
+# CHECK: s_ff1_i32_b32 flat_scratch_lo, s1    ; encoding: [0x01,0x10,0xe6,0xbe]
+0x01,0x10,0xe6,0xbe
+
+# CHECK: s_ff1_i32_b32 flat_scratch_hi, s1    ; encoding: [0x01,0x10,0xe7,0xbe]
+0x01,0x10,0xe7,0xbe
+
+# CHECK: s_ff1_i32_b32 vcc_lo, s1    ; encoding: [0x01,0x10,0xea,0xbe]
+0x01,0x10,0xea,0xbe
+
+# CHECK: s_ff1_i32_b32 vcc_hi, s1    ; encoding: [0x01,0x10,0xeb,0xbe]
+0x01,0x10,0xeb,0xbe
+
+# CHECK: s_ff1_i32_b32 m0, s1    ; encoding: [0x01,0x10,0xfc,0xbe]
+0x01,0x10,0xfc,0xbe
+
+# CHECK: s_ff1_i32_b32 exec_lo, s1    ; encoding: [0x01,0x10,0xfe,0xbe]
+0x01,0x10,0xfe,0xbe
+
+# CHECK: s_ff1_i32_b32 exec_hi, s1    ; encoding: [0x01,0x10,0xff,0xbe]
+0x01,0x10,0xff,0xbe
+
+# CHECK: s_ff1_i32_b32 s5, s101    ; encoding: [0x65,0x10,0x85,0xbe]
+0x65,0x10,0x85,0xbe
+
+# CHECK: s_ff1_i32_b32 s5, flat_scratch_lo    ; encoding: [0x66,0x10,0x85,0xbe]
+0x66,0x10,0x85,0xbe
+
+# CHECK: s_ff1_i32_b32 s5, flat_scratch_hi    ; encoding: [0x67,0x10,0x85,0xbe]
+0x67,0x10,0x85,0xbe
+
+# CHECK: s_ff1_i32_b32 s5, vcc_lo    ; encoding: [0x6a,0x10,0x85,0xbe]
+0x6a,0x10,0x85,0xbe
+
+# CHECK: s_ff1_i32_b32 s5, vcc_hi    ; encoding: [0x6b,0x10,0x85,0xbe]
+0x6b,0x10,0x85,0xbe
+
+# CHECK: s_ff1_i32_b32 s5, m0    ; encoding: [0x7c,0x10,0x85,0xbe]
+0x7c,0x10,0x85,0xbe
+
+# CHECK: s_ff1_i32_b32 s5, exec_lo    ; encoding: [0x7e,0x10,0x85,0xbe]
+0x7e,0x10,0x85,0xbe
+
+# CHECK: s_ff1_i32_b32 s5, exec_hi    ; encoding: [0x7f,0x10,0x85,0xbe]
+0x7f,0x10,0x85,0xbe
+
+# CHECK: s_ff1_i32_b32 s5, 0    ; encoding: [0x80,0x10,0x85,0xbe]
+0x80,0x10,0x85,0xbe
+
+# CHECK: s_ff1_i32_b32 s5, -1    ; encoding: [0xc1,0x10,0x85,0xbe]
+0xc1,0x10,0x85,0xbe
+
+# CHECK: s_ff1_i32_b32 s5, 0.5    ; encoding: [0xf0,0x10,0x85,0xbe]
+0xf0,0x10,0x85,0xbe
+
+# CHECK: s_ff1_i32_b32 s5, -4.0    ; encoding: [0xf7,0x10,0x85,0xbe]
+0xf7,0x10,0x85,0xbe
+
+# CHECK: s_ff1_i32_b32 s5, 0xaf123456    ; encoding: [0xff,0x10,0x85,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x10,0x85,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_ff1_i32_b32 s5, 0x3f717273    ; encoding: [0xff,0x10,0x85,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x10,0x85,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_ff1_i32_b64 s5, s[2:3]    ; encoding: [0x02,0x11,0x85,0xbe]
+0x02,0x11,0x85,0xbe
+
+# CHECK: s_ff1_i32_b64 s101, s[2:3]    ; encoding: [0x02,0x11,0xe5,0xbe]
+0x02,0x11,0xe5,0xbe
+
+# CHECK: s_ff1_i32_b64 flat_scratch_lo, s[2:3]    ; encoding: [0x02,0x11,0xe6,0xbe]
+0x02,0x11,0xe6,0xbe
+
+# CHECK: s_ff1_i32_b64 flat_scratch_hi, s[2:3]    ; encoding: [0x02,0x11,0xe7,0xbe]
+0x02,0x11,0xe7,0xbe
+
+# CHECK: s_ff1_i32_b64 vcc_lo, s[2:3]    ; encoding: [0x02,0x11,0xea,0xbe]
+0x02,0x11,0xea,0xbe
+
+# CHECK: s_ff1_i32_b64 vcc_hi, s[2:3]    ; encoding: [0x02,0x11,0xeb,0xbe]
+0x02,0x11,0xeb,0xbe
+
+# CHECK: s_ff1_i32_b64 m0, s[2:3]    ; encoding: [0x02,0x11,0xfc,0xbe]
+0x02,0x11,0xfc,0xbe
+
+# CHECK: s_ff1_i32_b64 exec_lo, s[2:3]    ; encoding: [0x02,0x11,0xfe,0xbe]
+0x02,0x11,0xfe,0xbe
+
+# CHECK: s_ff1_i32_b64 exec_hi, s[2:3]    ; encoding: [0x02,0x11,0xff,0xbe]
+0x02,0x11,0xff,0xbe
+
+# CHECK: s_ff1_i32_b64 s5, s[4:5]    ; encoding: [0x04,0x11,0x85,0xbe]
+0x04,0x11,0x85,0xbe
+
+# CHECK: s_ff1_i32_b64 s5, s[100:101]    ; encoding: [0x64,0x11,0x85,0xbe]
+0x64,0x11,0x85,0xbe
+
+# CHECK: s_ff1_i32_b64 s5, flat_scratch    ; encoding: [0x66,0x11,0x85,0xbe]
+0x66,0x11,0x85,0xbe
+
+# CHECK: s_ff1_i32_b64 s5, vcc    ; encoding: [0x6a,0x11,0x85,0xbe]
+0x6a,0x11,0x85,0xbe
+
+# CHECK: s_ff1_i32_b64 s5, exec    ; encoding: [0x7e,0x11,0x85,0xbe]
+0x7e,0x11,0x85,0xbe
+
+# CHECK: s_ff1_i32_b64 s5, 0    ; encoding: [0x80,0x11,0x85,0xbe]
+0x80,0x11,0x85,0xbe
+
+# CHECK: s_ff1_i32_b64 s5, -1    ; encoding: [0xc1,0x11,0x85,0xbe]
+0xc1,0x11,0x85,0xbe
+
+# CHECK: s_ff1_i32_b64 s5, 0.5    ; encoding: [0xf0,0x11,0x85,0xbe]
+0xf0,0x11,0x85,0xbe
+
+# CHECK: s_ff1_i32_b64 s5, -4.0    ; encoding: [0xf7,0x11,0x85,0xbe]
+0xf7,0x11,0x85,0xbe
+
+# CHECK: s_ff1_i32_b64 s5, 0xaf123456    ; encoding: [0xff,0x11,0x85,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x11,0x85,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_ff1_i32_b64 s5, 0x3f717273    ; encoding: [0xff,0x11,0x85,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x11,0x85,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_flbit_i32_b32 s5, s1    ; encoding: [0x01,0x12,0x85,0xbe]
+0x01,0x12,0x85,0xbe
+
+# CHECK: s_flbit_i32_b32 s101, s1    ; encoding: [0x01,0x12,0xe5,0xbe]
+0x01,0x12,0xe5,0xbe
+
+# CHECK: s_flbit_i32_b32 flat_scratch_lo, s1    ; encoding: [0x01,0x12,0xe6,0xbe]
+0x01,0x12,0xe6,0xbe
+
+# CHECK: s_flbit_i32_b32 flat_scratch_hi, s1    ; encoding: [0x01,0x12,0xe7,0xbe]
+0x01,0x12,0xe7,0xbe
+
+# CHECK: s_flbit_i32_b32 vcc_lo, s1    ; encoding: [0x01,0x12,0xea,0xbe]
+0x01,0x12,0xea,0xbe
+
+# CHECK: s_flbit_i32_b32 vcc_hi, s1    ; encoding: [0x01,0x12,0xeb,0xbe]
+0x01,0x12,0xeb,0xbe
+
+# CHECK: s_flbit_i32_b32 m0, s1    ; encoding: [0x01,0x12,0xfc,0xbe]
+0x01,0x12,0xfc,0xbe
+
+# CHECK: s_flbit_i32_b32 exec_lo, s1    ; encoding: [0x01,0x12,0xfe,0xbe]
+0x01,0x12,0xfe,0xbe
+
+# CHECK: s_flbit_i32_b32 exec_hi, s1    ; encoding: [0x01,0x12,0xff,0xbe]
+0x01,0x12,0xff,0xbe
+
+# CHECK: s_flbit_i32_b32 s5, s101    ; encoding: [0x65,0x12,0x85,0xbe]
+0x65,0x12,0x85,0xbe
+
+# CHECK: s_flbit_i32_b32 s5, flat_scratch_lo    ; encoding: [0x66,0x12,0x85,0xbe]
+0x66,0x12,0x85,0xbe
+
+# CHECK: s_flbit_i32_b32 s5, flat_scratch_hi    ; encoding: [0x67,0x12,0x85,0xbe]
+0x67,0x12,0x85,0xbe
+
+# CHECK: s_flbit_i32_b32 s5, vcc_lo    ; encoding: [0x6a,0x12,0x85,0xbe]
+0x6a,0x12,0x85,0xbe
+
+# CHECK: s_flbit_i32_b32 s5, vcc_hi    ; encoding: [0x6b,0x12,0x85,0xbe]
+0x6b,0x12,0x85,0xbe
+
+# CHECK: s_flbit_i32_b32 s5, m0    ; encoding: [0x7c,0x12,0x85,0xbe]
+0x7c,0x12,0x85,0xbe
+
+# CHECK: s_flbit_i32_b32 s5, exec_lo    ; encoding: [0x7e,0x12,0x85,0xbe]
+0x7e,0x12,0x85,0xbe
+
+# CHECK: s_flbit_i32_b32 s5, exec_hi    ; encoding: [0x7f,0x12,0x85,0xbe]
+0x7f,0x12,0x85,0xbe
+
+# CHECK: s_flbit_i32_b32 s5, 0    ; encoding: [0x80,0x12,0x85,0xbe]
+0x80,0x12,0x85,0xbe
+
+# CHECK: s_flbit_i32_b32 s5, -1    ; encoding: [0xc1,0x12,0x85,0xbe]
+0xc1,0x12,0x85,0xbe
+
+# CHECK: s_flbit_i32_b32 s5, 0.5    ; encoding: [0xf0,0x12,0x85,0xbe]
+0xf0,0x12,0x85,0xbe
+
+# CHECK: s_flbit_i32_b32 s5, -4.0    ; encoding: [0xf7,0x12,0x85,0xbe]
+0xf7,0x12,0x85,0xbe
+
+# CHECK: s_flbit_i32_b32 s5, 0xaf123456    ; encoding: [0xff,0x12,0x85,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x12,0x85,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_flbit_i32_b32 s5, 0x3f717273    ; encoding: [0xff,0x12,0x85,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x12,0x85,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_flbit_i32_b64 s5, s[2:3]    ; encoding: [0x02,0x13,0x85,0xbe]
+0x02,0x13,0x85,0xbe
+
+# CHECK: s_flbit_i32_b64 s101, s[2:3]    ; encoding: [0x02,0x13,0xe5,0xbe]
+0x02,0x13,0xe5,0xbe
+
+# CHECK: s_flbit_i32_b64 flat_scratch_lo, s[2:3]    ; encoding: [0x02,0x13,0xe6,0xbe]
+0x02,0x13,0xe6,0xbe
+
+# CHECK: s_flbit_i32_b64 flat_scratch_hi, s[2:3]    ; encoding: [0x02,0x13,0xe7,0xbe]
+0x02,0x13,0xe7,0xbe
+
+# CHECK: s_flbit_i32_b64 vcc_lo, s[2:3]    ; encoding: [0x02,0x13,0xea,0xbe]
+0x02,0x13,0xea,0xbe
+
+# CHECK: s_flbit_i32_b64 vcc_hi, s[2:3]    ; encoding: [0x02,0x13,0xeb,0xbe]
+0x02,0x13,0xeb,0xbe
+
+# CHECK: s_flbit_i32_b64 m0, s[2:3]    ; encoding: [0x02,0x13,0xfc,0xbe]
+0x02,0x13,0xfc,0xbe
+
+# CHECK: s_flbit_i32_b64 exec_lo, s[2:3]    ; encoding: [0x02,0x13,0xfe,0xbe]
+0x02,0x13,0xfe,0xbe
+
+# CHECK: s_flbit_i32_b64 exec_hi, s[2:3]    ; encoding: [0x02,0x13,0xff,0xbe]
+0x02,0x13,0xff,0xbe
+
+# CHECK: s_flbit_i32_b64 s5, s[4:5]    ; encoding: [0x04,0x13,0x85,0xbe]
+0x04,0x13,0x85,0xbe
+
+# CHECK: s_flbit_i32_b64 s5, s[100:101]    ; encoding: [0x64,0x13,0x85,0xbe]
+0x64,0x13,0x85,0xbe
+
+# CHECK: s_flbit_i32_b64 s5, flat_scratch    ; encoding: [0x66,0x13,0x85,0xbe]
+0x66,0x13,0x85,0xbe
+
+# CHECK: s_flbit_i32_b64 s5, vcc    ; encoding: [0x6a,0x13,0x85,0xbe]
+0x6a,0x13,0x85,0xbe
+
+# CHECK: s_flbit_i32_b64 s5, exec    ; encoding: [0x7e,0x13,0x85,0xbe]
+0x7e,0x13,0x85,0xbe
+
+# CHECK: s_flbit_i32_b64 s5, 0    ; encoding: [0x80,0x13,0x85,0xbe]
+0x80,0x13,0x85,0xbe
+
+# CHECK: s_flbit_i32_b64 s5, -1    ; encoding: [0xc1,0x13,0x85,0xbe]
+0xc1,0x13,0x85,0xbe
+
+# CHECK: s_flbit_i32_b64 s5, 0.5    ; encoding: [0xf0,0x13,0x85,0xbe]
+0xf0,0x13,0x85,0xbe
+
+# CHECK: s_flbit_i32_b64 s5, -4.0    ; encoding: [0xf7,0x13,0x85,0xbe]
+0xf7,0x13,0x85,0xbe
+
+# CHECK: s_flbit_i32_b64 s5, 0xaf123456    ; encoding: [0xff,0x13,0x85,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x13,0x85,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_flbit_i32_b64 s5, 0x3f717273    ; encoding: [0xff,0x13,0x85,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x13,0x85,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_flbit_i32 s5, s1    ; encoding: [0x01,0x14,0x85,0xbe]
+0x01,0x14,0x85,0xbe
+
+# CHECK: s_flbit_i32 s101, s1    ; encoding: [0x01,0x14,0xe5,0xbe]
+0x01,0x14,0xe5,0xbe
+
+# CHECK: s_flbit_i32 flat_scratch_lo, s1    ; encoding: [0x01,0x14,0xe6,0xbe]
+0x01,0x14,0xe6,0xbe
+
+# CHECK: s_flbit_i32 flat_scratch_hi, s1    ; encoding: [0x01,0x14,0xe7,0xbe]
+0x01,0x14,0xe7,0xbe
+
+# CHECK: s_flbit_i32 vcc_lo, s1    ; encoding: [0x01,0x14,0xea,0xbe]
+0x01,0x14,0xea,0xbe
+
+# CHECK: s_flbit_i32 vcc_hi, s1    ; encoding: [0x01,0x14,0xeb,0xbe]
+0x01,0x14,0xeb,0xbe
+
+# CHECK: s_flbit_i32 m0, s1    ; encoding: [0x01,0x14,0xfc,0xbe]
+0x01,0x14,0xfc,0xbe
+
+# CHECK: s_flbit_i32 exec_lo, s1    ; encoding: [0x01,0x14,0xfe,0xbe]
+0x01,0x14,0xfe,0xbe
+
+# CHECK: s_flbit_i32 exec_hi, s1    ; encoding: [0x01,0x14,0xff,0xbe]
+0x01,0x14,0xff,0xbe
+
+# CHECK: s_flbit_i32 s5, s101    ; encoding: [0x65,0x14,0x85,0xbe]
+0x65,0x14,0x85,0xbe
+
+# CHECK: s_flbit_i32 s5, flat_scratch_lo    ; encoding: [0x66,0x14,0x85,0xbe]
+0x66,0x14,0x85,0xbe
+
+# CHECK: s_flbit_i32 s5, flat_scratch_hi    ; encoding: [0x67,0x14,0x85,0xbe]
+0x67,0x14,0x85,0xbe
+
+# CHECK: s_flbit_i32 s5, vcc_lo    ; encoding: [0x6a,0x14,0x85,0xbe]
+0x6a,0x14,0x85,0xbe
+
+# CHECK: s_flbit_i32 s5, vcc_hi    ; encoding: [0x6b,0x14,0x85,0xbe]
+0x6b,0x14,0x85,0xbe
+
+# CHECK: s_flbit_i32 s5, m0    ; encoding: [0x7c,0x14,0x85,0xbe]
+0x7c,0x14,0x85,0xbe
+
+# CHECK: s_flbit_i32 s5, exec_lo    ; encoding: [0x7e,0x14,0x85,0xbe]
+0x7e,0x14,0x85,0xbe
+
+# CHECK: s_flbit_i32 s5, exec_hi    ; encoding: [0x7f,0x14,0x85,0xbe]
+0x7f,0x14,0x85,0xbe
+
+# CHECK: s_flbit_i32 s5, 0    ; encoding: [0x80,0x14,0x85,0xbe]
+0x80,0x14,0x85,0xbe
+
+# CHECK: s_flbit_i32 s5, -1    ; encoding: [0xc1,0x14,0x85,0xbe]
+0xc1,0x14,0x85,0xbe
+
+# CHECK: s_flbit_i32 s5, 0.5    ; encoding: [0xf0,0x14,0x85,0xbe]
+0xf0,0x14,0x85,0xbe
+
+# CHECK: s_flbit_i32 s5, -4.0    ; encoding: [0xf7,0x14,0x85,0xbe]
+0xf7,0x14,0x85,0xbe
+
+# CHECK: s_flbit_i32 s5, 0xaf123456    ; encoding: [0xff,0x14,0x85,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x14,0x85,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_flbit_i32 s5, 0x3f717273    ; encoding: [0xff,0x14,0x85,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x14,0x85,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_flbit_i32_i64 s5, s[2:3]    ; encoding: [0x02,0x15,0x85,0xbe]
+0x02,0x15,0x85,0xbe
+
+# CHECK: s_flbit_i32_i64 s101, s[2:3]    ; encoding: [0x02,0x15,0xe5,0xbe]
+0x02,0x15,0xe5,0xbe
+
+# CHECK: s_flbit_i32_i64 flat_scratch_lo, s[2:3]    ; encoding: [0x02,0x15,0xe6,0xbe]
+0x02,0x15,0xe6,0xbe
+
+# CHECK: s_flbit_i32_i64 flat_scratch_hi, s[2:3]    ; encoding: [0x02,0x15,0xe7,0xbe]
+0x02,0x15,0xe7,0xbe
+
+# CHECK: s_flbit_i32_i64 vcc_lo, s[2:3]    ; encoding: [0x02,0x15,0xea,0xbe]
+0x02,0x15,0xea,0xbe
+
+# CHECK: s_flbit_i32_i64 vcc_hi, s[2:3]    ; encoding: [0x02,0x15,0xeb,0xbe]
+0x02,0x15,0xeb,0xbe
+
+# CHECK: s_flbit_i32_i64 m0, s[2:3]    ; encoding: [0x02,0x15,0xfc,0xbe]
+0x02,0x15,0xfc,0xbe
+
+# CHECK: s_flbit_i32_i64 exec_lo, s[2:3]    ; encoding: [0x02,0x15,0xfe,0xbe]
+0x02,0x15,0xfe,0xbe
+
+# CHECK: s_flbit_i32_i64 exec_hi, s[2:3]    ; encoding: [0x02,0x15,0xff,0xbe]
+0x02,0x15,0xff,0xbe
+
+# CHECK: s_flbit_i32_i64 s5, s[4:5]    ; encoding: [0x04,0x15,0x85,0xbe]
+0x04,0x15,0x85,0xbe
+
+# CHECK: s_flbit_i32_i64 s5, s[100:101]    ; encoding: [0x64,0x15,0x85,0xbe]
+0x64,0x15,0x85,0xbe
+
+# CHECK: s_flbit_i32_i64 s5, flat_scratch    ; encoding: [0x66,0x15,0x85,0xbe]
+0x66,0x15,0x85,0xbe
+
+# CHECK: s_flbit_i32_i64 s5, vcc    ; encoding: [0x6a,0x15,0x85,0xbe]
+0x6a,0x15,0x85,0xbe
+
+# CHECK: s_flbit_i32_i64 s5, exec    ; encoding: [0x7e,0x15,0x85,0xbe]
+0x7e,0x15,0x85,0xbe
+
+# CHECK: s_flbit_i32_i64 s5, 0    ; encoding: [0x80,0x15,0x85,0xbe]
+0x80,0x15,0x85,0xbe
+
+# CHECK: s_flbit_i32_i64 s5, -1    ; encoding: [0xc1,0x15,0x85,0xbe]
+0xc1,0x15,0x85,0xbe
+
+# CHECK: s_flbit_i32_i64 s5, 0.5    ; encoding: [0xf0,0x15,0x85,0xbe]
+0xf0,0x15,0x85,0xbe
+
+# CHECK: s_flbit_i32_i64 s5, -4.0    ; encoding: [0xf7,0x15,0x85,0xbe]
+0xf7,0x15,0x85,0xbe
+
+# CHECK: s_flbit_i32_i64 s5, 0xaf123456    ; encoding: [0xff,0x15,0x85,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x15,0x85,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_flbit_i32_i64 s5, 0x3f717273    ; encoding: [0xff,0x15,0x85,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x15,0x85,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_sext_i32_i8 s5, s1    ; encoding: [0x01,0x16,0x85,0xbe]
+0x01,0x16,0x85,0xbe
+
+# CHECK: s_sext_i32_i8 s101, s1    ; encoding: [0x01,0x16,0xe5,0xbe]
+0x01,0x16,0xe5,0xbe
+
+# CHECK: s_sext_i32_i8 flat_scratch_lo, s1    ; encoding: [0x01,0x16,0xe6,0xbe]
+0x01,0x16,0xe6,0xbe
+
+# CHECK: s_sext_i32_i8 flat_scratch_hi, s1    ; encoding: [0x01,0x16,0xe7,0xbe]
+0x01,0x16,0xe7,0xbe
+
+# CHECK: s_sext_i32_i8 vcc_lo, s1    ; encoding: [0x01,0x16,0xea,0xbe]
+0x01,0x16,0xea,0xbe
+
+# CHECK: s_sext_i32_i8 vcc_hi, s1    ; encoding: [0x01,0x16,0xeb,0xbe]
+0x01,0x16,0xeb,0xbe
+
+# CHECK: s_sext_i32_i8 m0, s1    ; encoding: [0x01,0x16,0xfc,0xbe]
+0x01,0x16,0xfc,0xbe
+
+# CHECK: s_sext_i32_i8 exec_lo, s1    ; encoding: [0x01,0x16,0xfe,0xbe]
+0x01,0x16,0xfe,0xbe
+
+# CHECK: s_sext_i32_i8 exec_hi, s1    ; encoding: [0x01,0x16,0xff,0xbe]
+0x01,0x16,0xff,0xbe
+
+# CHECK: s_sext_i32_i8 s5, s101    ; encoding: [0x65,0x16,0x85,0xbe]
+0x65,0x16,0x85,0xbe
+
+# CHECK: s_sext_i32_i8 s5, flat_scratch_lo    ; encoding: [0x66,0x16,0x85,0xbe]
+0x66,0x16,0x85,0xbe
+
+# CHECK: s_sext_i32_i8 s5, flat_scratch_hi    ; encoding: [0x67,0x16,0x85,0xbe]
+0x67,0x16,0x85,0xbe
+
+# CHECK: s_sext_i32_i8 s5, vcc_lo    ; encoding: [0x6a,0x16,0x85,0xbe]
+0x6a,0x16,0x85,0xbe
+
+# CHECK: s_sext_i32_i8 s5, vcc_hi    ; encoding: [0x6b,0x16,0x85,0xbe]
+0x6b,0x16,0x85,0xbe
+
+# CHECK: s_sext_i32_i8 s5, m0    ; encoding: [0x7c,0x16,0x85,0xbe]
+0x7c,0x16,0x85,0xbe
+
+# CHECK: s_sext_i32_i8 s5, exec_lo    ; encoding: [0x7e,0x16,0x85,0xbe]
+0x7e,0x16,0x85,0xbe
+
+# CHECK: s_sext_i32_i8 s5, exec_hi    ; encoding: [0x7f,0x16,0x85,0xbe]
+0x7f,0x16,0x85,0xbe
+
+# CHECK: s_sext_i32_i8 s5, 0    ; encoding: [0x80,0x16,0x85,0xbe]
+0x80,0x16,0x85,0xbe
+
+# CHECK: s_sext_i32_i8 s5, -1    ; encoding: [0xc1,0x16,0x85,0xbe]
+0xc1,0x16,0x85,0xbe
+
+# CHECK: s_sext_i32_i8 s5, 0.5    ; encoding: [0xf0,0x16,0x85,0xbe]
+0xf0,0x16,0x85,0xbe
+
+# CHECK: s_sext_i32_i8 s5, -4.0    ; encoding: [0xf7,0x16,0x85,0xbe]
+0xf7,0x16,0x85,0xbe
+
+# CHECK: s_sext_i32_i8 s5, 0x71    ; encoding: [0xff,0x16,0x85,0xbe,0x71,0x00,0x00,0x00]
+0xff,0x16,0x85,0xbe,0x71,0x00,0x00,0x00
+
+# CHECK: s_sext_i32_i8 s5, 0xf0    ; encoding: [0xff,0x16,0x85,0xbe,0xf0,0x00,0x00,0x00]
+0xff,0x16,0x85,0xbe,0xf0,0x00,0x00,0x00
+
+# CHECK: s_sext_i32_i16 s5, s1    ; encoding: [0x01,0x17,0x85,0xbe]
+0x01,0x17,0x85,0xbe
+
+# CHECK: s_sext_i32_i16 s101, s1    ; encoding: [0x01,0x17,0xe5,0xbe]
+0x01,0x17,0xe5,0xbe
+
+# CHECK: s_sext_i32_i16 flat_scratch_lo, s1    ; encoding: [0x01,0x17,0xe6,0xbe]
+0x01,0x17,0xe6,0xbe
+
+# CHECK: s_sext_i32_i16 flat_scratch_hi, s1    ; encoding: [0x01,0x17,0xe7,0xbe]
+0x01,0x17,0xe7,0xbe
+
+# CHECK: s_sext_i32_i16 vcc_lo, s1    ; encoding: [0x01,0x17,0xea,0xbe]
+0x01,0x17,0xea,0xbe
+
+# CHECK: s_sext_i32_i16 vcc_hi, s1    ; encoding: [0x01,0x17,0xeb,0xbe]
+0x01,0x17,0xeb,0xbe
+
+# CHECK: s_sext_i32_i16 m0, s1    ; encoding: [0x01,0x17,0xfc,0xbe]
+0x01,0x17,0xfc,0xbe
+
+# CHECK: s_sext_i32_i16 exec_lo, s1    ; encoding: [0x01,0x17,0xfe,0xbe]
+0x01,0x17,0xfe,0xbe
+
+# CHECK: s_sext_i32_i16 exec_hi, s1    ; encoding: [0x01,0x17,0xff,0xbe]
+0x01,0x17,0xff,0xbe
+
+# CHECK: s_sext_i32_i16 s5, s101    ; encoding: [0x65,0x17,0x85,0xbe]
+0x65,0x17,0x85,0xbe
+
+# CHECK: s_sext_i32_i16 s5, flat_scratch_lo    ; encoding: [0x66,0x17,0x85,0xbe]
+0x66,0x17,0x85,0xbe
+
+# CHECK: s_sext_i32_i16 s5, flat_scratch_hi    ; encoding: [0x67,0x17,0x85,0xbe]
+0x67,0x17,0x85,0xbe
+
+# CHECK: s_sext_i32_i16 s5, vcc_lo    ; encoding: [0x6a,0x17,0x85,0xbe]
+0x6a,0x17,0x85,0xbe
+
+# CHECK: s_sext_i32_i16 s5, vcc_hi    ; encoding: [0x6b,0x17,0x85,0xbe]
+0x6b,0x17,0x85,0xbe
+
+# CHECK: s_sext_i32_i16 s5, m0    ; encoding: [0x7c,0x17,0x85,0xbe]
+0x7c,0x17,0x85,0xbe
+
+# CHECK: s_sext_i32_i16 s5, exec_lo    ; encoding: [0x7e,0x17,0x85,0xbe]
+0x7e,0x17,0x85,0xbe
+
+# CHECK: s_sext_i32_i16 s5, exec_hi    ; encoding: [0x7f,0x17,0x85,0xbe]
+0x7f,0x17,0x85,0xbe
+
+# CHECK: s_sext_i32_i16 s5, 0    ; encoding: [0x80,0x17,0x85,0xbe]
+0x80,0x17,0x85,0xbe
+
+# CHECK: s_sext_i32_i16 s5, -1    ; encoding: [0xc1,0x17,0x85,0xbe]
+0xc1,0x17,0x85,0xbe
+
+# CHECK: s_sext_i32_i16 s5, 0.5    ; encoding: [0xf0,0x17,0x85,0xbe]
+0xf0,0x17,0x85,0xbe
+
+# CHECK: s_sext_i32_i16 s5, -4.0    ; encoding: [0xf7,0x17,0x85,0xbe]
+0xf7,0x17,0x85,0xbe
+
+# CHECK: s_sext_i32_i16 s5, 0xfe0b    ; encoding: [0xff,0x17,0x85,0xbe,0x0b,0xfe,0x00,0x00]
+0xff,0x17,0x85,0xbe,0x0b,0xfe,0x00,0x00
+
+# CHECK: s_sext_i32_i16 s5, 0x3456    ; encoding: [0xff,0x17,0x85,0xbe,0x56,0x34,0x00,0x00]
+0xff,0x17,0x85,0xbe,0x56,0x34,0x00,0x00
+
+# CHECK: s_bitset0_b32 s5, s1    ; encoding: [0x01,0x18,0x85,0xbe]
+0x01,0x18,0x85,0xbe
+
+# CHECK: s_bitset0_b32 s101, s1    ; encoding: [0x01,0x18,0xe5,0xbe]
+0x01,0x18,0xe5,0xbe
+
+# CHECK: s_bitset0_b32 flat_scratch_lo, s1    ; encoding: [0x01,0x18,0xe6,0xbe]
+0x01,0x18,0xe6,0xbe
+
+# CHECK: s_bitset0_b32 flat_scratch_hi, s1    ; encoding: [0x01,0x18,0xe7,0xbe]
+0x01,0x18,0xe7,0xbe
+
+# CHECK: s_bitset0_b32 vcc_lo, s1    ; encoding: [0x01,0x18,0xea,0xbe]
+0x01,0x18,0xea,0xbe
+
+# CHECK: s_bitset0_b32 vcc_hi, s1    ; encoding: [0x01,0x18,0xeb,0xbe]
+0x01,0x18,0xeb,0xbe
+
+# CHECK: s_bitset0_b32 m0, s1    ; encoding: [0x01,0x18,0xfc,0xbe]
+0x01,0x18,0xfc,0xbe
+
+# CHECK: s_bitset0_b32 exec_lo, s1    ; encoding: [0x01,0x18,0xfe,0xbe]
+0x01,0x18,0xfe,0xbe
+
+# CHECK: s_bitset0_b32 exec_hi, s1    ; encoding: [0x01,0x18,0xff,0xbe]
+0x01,0x18,0xff,0xbe
+
+# CHECK: s_bitset0_b32 s5, s101    ; encoding: [0x65,0x18,0x85,0xbe]
+0x65,0x18,0x85,0xbe
+
+# CHECK: s_bitset0_b32 s5, flat_scratch_lo    ; encoding: [0x66,0x18,0x85,0xbe]
+0x66,0x18,0x85,0xbe
+
+# CHECK: s_bitset0_b32 s5, flat_scratch_hi    ; encoding: [0x67,0x18,0x85,0xbe]
+0x67,0x18,0x85,0xbe
+
+# CHECK: s_bitset0_b32 s5, vcc_lo    ; encoding: [0x6a,0x18,0x85,0xbe]
+0x6a,0x18,0x85,0xbe
+
+# CHECK: s_bitset0_b32 s5, vcc_hi    ; encoding: [0x6b,0x18,0x85,0xbe]
+0x6b,0x18,0x85,0xbe
+
+# CHECK: s_bitset0_b32 s5, m0    ; encoding: [0x7c,0x18,0x85,0xbe]
+0x7c,0x18,0x85,0xbe
+
+# CHECK: s_bitset0_b32 s5, exec_lo    ; encoding: [0x7e,0x18,0x85,0xbe]
+0x7e,0x18,0x85,0xbe
+
+# CHECK: s_bitset0_b32 s5, exec_hi    ; encoding: [0x7f,0x18,0x85,0xbe]
+0x7f,0x18,0x85,0xbe
+
+# CHECK: s_bitset0_b32 s5, 0    ; encoding: [0x80,0x18,0x85,0xbe]
+0x80,0x18,0x85,0xbe
+
+# CHECK: s_bitset0_b32 s5, -1    ; encoding: [0xc1,0x18,0x85,0xbe]
+0xc1,0x18,0x85,0xbe
+
+# CHECK: s_bitset0_b32 s5, 0.5    ; encoding: [0xf0,0x18,0x85,0xbe]
+0xf0,0x18,0x85,0xbe
+
+# CHECK: s_bitset0_b32 s5, -4.0    ; encoding: [0xf7,0x18,0x85,0xbe]
+0xf7,0x18,0x85,0xbe
+
+# CHECK: s_bitset0_b32 s5, 0xaf123456    ; encoding: [0xff,0x18,0x85,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x18,0x85,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bitset0_b32 s5, 0x3f717273    ; encoding: [0xff,0x18,0x85,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x18,0x85,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bitset0_b64 s[10:11], s1    ; encoding: [0x01,0x19,0x8a,0xbe]
+0x01,0x19,0x8a,0xbe
+
+# CHECK: s_bitset0_b64 s[12:13], s1    ; encoding: [0x01,0x19,0x8c,0xbe]
+0x01,0x19,0x8c,0xbe
+
+# CHECK: s_bitset0_b64 s[100:101], s1    ; encoding: [0x01,0x19,0xe4,0xbe]
+0x01,0x19,0xe4,0xbe
+
+# CHECK: s_bitset0_b64 flat_scratch, s1    ; encoding: [0x01,0x19,0xe6,0xbe]
+0x01,0x19,0xe6,0xbe
+
+# CHECK: s_bitset0_b64 vcc, s1    ; encoding: [0x01,0x19,0xea,0xbe]
+0x01,0x19,0xea,0xbe
+
+# CHECK: s_bitset0_b64 exec, s1    ; encoding: [0x01,0x19,0xfe,0xbe]
+0x01,0x19,0xfe,0xbe
+
+# CHECK: s_bitset0_b64 s[10:11], s101    ; encoding: [0x65,0x19,0x8a,0xbe]
+0x65,0x19,0x8a,0xbe
+
+# CHECK: s_bitset0_b64 s[10:11], flat_scratch_lo    ; encoding: [0x66,0x19,0x8a,0xbe]
+0x66,0x19,0x8a,0xbe
+
+# CHECK: s_bitset0_b64 s[10:11], flat_scratch_hi    ; encoding: [0x67,0x19,0x8a,0xbe]
+0x67,0x19,0x8a,0xbe
+
+# CHECK: s_bitset0_b64 s[10:11], vcc_lo    ; encoding: [0x6a,0x19,0x8a,0xbe]
+0x6a,0x19,0x8a,0xbe
+
+# CHECK: s_bitset0_b64 s[10:11], vcc_hi    ; encoding: [0x6b,0x19,0x8a,0xbe]
+0x6b,0x19,0x8a,0xbe
+
+# CHECK: s_bitset0_b64 s[10:11], m0    ; encoding: [0x7c,0x19,0x8a,0xbe]
+0x7c,0x19,0x8a,0xbe
+
+# CHECK: s_bitset0_b64 s[10:11], exec_lo    ; encoding: [0x7e,0x19,0x8a,0xbe]
+0x7e,0x19,0x8a,0xbe
+
+# CHECK: s_bitset0_b64 s[10:11], exec_hi    ; encoding: [0x7f,0x19,0x8a,0xbe]
+0x7f,0x19,0x8a,0xbe
+
+# CHECK: s_bitset0_b64 s[10:11], 0    ; encoding: [0x80,0x19,0x8a,0xbe]
+0x80,0x19,0x8a,0xbe
+
+# CHECK: s_bitset0_b64 s[10:11], -1    ; encoding: [0xc1,0x19,0x8a,0xbe]
+0xc1,0x19,0x8a,0xbe
+
+# CHECK: s_bitset0_b64 s[10:11], 0.5    ; encoding: [0xf0,0x19,0x8a,0xbe]
+0xf0,0x19,0x8a,0xbe
+
+# CHECK: s_bitset0_b64 s[10:11], -4.0    ; encoding: [0xf7,0x19,0x8a,0xbe]
+0xf7,0x19,0x8a,0xbe
+
+# CHECK: s_bitset0_b64 s[10:11], 0xaf123456    ; encoding: [0xff,0x19,0x8a,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x19,0x8a,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bitset0_b64 s[10:11], 0x3f717273    ; encoding: [0xff,0x19,0x8a,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x19,0x8a,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bitset1_b32 s5, s1    ; encoding: [0x01,0x1a,0x85,0xbe]
+0x01,0x1a,0x85,0xbe
+
+# CHECK: s_bitset1_b32 s101, s1    ; encoding: [0x01,0x1a,0xe5,0xbe]
+0x01,0x1a,0xe5,0xbe
+
+# CHECK: s_bitset1_b32 flat_scratch_lo, s1    ; encoding: [0x01,0x1a,0xe6,0xbe]
+0x01,0x1a,0xe6,0xbe
+
+# CHECK: s_bitset1_b32 flat_scratch_hi, s1    ; encoding: [0x01,0x1a,0xe7,0xbe]
+0x01,0x1a,0xe7,0xbe
+
+# CHECK: s_bitset1_b32 vcc_lo, s1    ; encoding: [0x01,0x1a,0xea,0xbe]
+0x01,0x1a,0xea,0xbe
+
+# CHECK: s_bitset1_b32 vcc_hi, s1    ; encoding: [0x01,0x1a,0xeb,0xbe]
+0x01,0x1a,0xeb,0xbe
+
+# CHECK: s_bitset1_b32 m0, s1    ; encoding: [0x01,0x1a,0xfc,0xbe]
+0x01,0x1a,0xfc,0xbe
+
+# CHECK: s_bitset1_b32 exec_lo, s1    ; encoding: [0x01,0x1a,0xfe,0xbe]
+0x01,0x1a,0xfe,0xbe
+
+# CHECK: s_bitset1_b32 exec_hi, s1    ; encoding: [0x01,0x1a,0xff,0xbe]
+0x01,0x1a,0xff,0xbe
+
+# CHECK: s_bitset1_b32 s5, s101    ; encoding: [0x65,0x1a,0x85,0xbe]
+0x65,0x1a,0x85,0xbe
+
+# CHECK: s_bitset1_b32 s5, flat_scratch_lo    ; encoding: [0x66,0x1a,0x85,0xbe]
+0x66,0x1a,0x85,0xbe
+
+# CHECK: s_bitset1_b32 s5, flat_scratch_hi    ; encoding: [0x67,0x1a,0x85,0xbe]
+0x67,0x1a,0x85,0xbe
+
+# CHECK: s_bitset1_b32 s5, vcc_lo    ; encoding: [0x6a,0x1a,0x85,0xbe]
+0x6a,0x1a,0x85,0xbe
+
+# CHECK: s_bitset1_b32 s5, vcc_hi    ; encoding: [0x6b,0x1a,0x85,0xbe]
+0x6b,0x1a,0x85,0xbe
+
+# CHECK: s_bitset1_b32 s5, m0    ; encoding: [0x7c,0x1a,0x85,0xbe]
+0x7c,0x1a,0x85,0xbe
+
+# CHECK: s_bitset1_b32 s5, exec_lo    ; encoding: [0x7e,0x1a,0x85,0xbe]
+0x7e,0x1a,0x85,0xbe
+
+# CHECK: s_bitset1_b32 s5, exec_hi    ; encoding: [0x7f,0x1a,0x85,0xbe]
+0x7f,0x1a,0x85,0xbe
+
+# CHECK: s_bitset1_b32 s5, 0    ; encoding: [0x80,0x1a,0x85,0xbe]
+0x80,0x1a,0x85,0xbe
+
+# CHECK: s_bitset1_b32 s5, -1    ; encoding: [0xc1,0x1a,0x85,0xbe]
+0xc1,0x1a,0x85,0xbe
+
+# CHECK: s_bitset1_b32 s5, 0.5    ; encoding: [0xf0,0x1a,0x85,0xbe]
+0xf0,0x1a,0x85,0xbe
+
+# CHECK: s_bitset1_b32 s5, -4.0    ; encoding: [0xf7,0x1a,0x85,0xbe]
+0xf7,0x1a,0x85,0xbe
+
+# CHECK: s_bitset1_b32 s5, 0xaf123456    ; encoding: [0xff,0x1a,0x85,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x1a,0x85,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bitset1_b32 s5, 0x3f717273    ; encoding: [0xff,0x1a,0x85,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x1a,0x85,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bitset1_b64 s[10:11], s1    ; encoding: [0x01,0x1b,0x8a,0xbe]
+0x01,0x1b,0x8a,0xbe
+
+# CHECK: s_bitset1_b64 s[12:13], s1    ; encoding: [0x01,0x1b,0x8c,0xbe]
+0x01,0x1b,0x8c,0xbe
+
+# CHECK: s_bitset1_b64 s[100:101], s1    ; encoding: [0x01,0x1b,0xe4,0xbe]
+0x01,0x1b,0xe4,0xbe
+
+# CHECK: s_bitset1_b64 flat_scratch, s1    ; encoding: [0x01,0x1b,0xe6,0xbe]
+0x01,0x1b,0xe6,0xbe
+
+# CHECK: s_bitset1_b64 vcc, s1    ; encoding: [0x01,0x1b,0xea,0xbe]
+0x01,0x1b,0xea,0xbe
+
+# CHECK: s_bitset1_b64 exec, s1    ; encoding: [0x01,0x1b,0xfe,0xbe]
+0x01,0x1b,0xfe,0xbe
+
+# CHECK: s_bitset1_b64 s[10:11], s101    ; encoding: [0x65,0x1b,0x8a,0xbe]
+0x65,0x1b,0x8a,0xbe
+
+# CHECK: s_bitset1_b64 s[10:11], flat_scratch_lo    ; encoding: [0x66,0x1b,0x8a,0xbe]
+0x66,0x1b,0x8a,0xbe
+
+# CHECK: s_bitset1_b64 s[10:11], flat_scratch_hi    ; encoding: [0x67,0x1b,0x8a,0xbe]
+0x67,0x1b,0x8a,0xbe
+
+# CHECK: s_bitset1_b64 s[10:11], vcc_lo    ; encoding: [0x6a,0x1b,0x8a,0xbe]
+0x6a,0x1b,0x8a,0xbe
+
+# CHECK: s_bitset1_b64 s[10:11], vcc_hi    ; encoding: [0x6b,0x1b,0x8a,0xbe]
+0x6b,0x1b,0x8a,0xbe
+
+# CHECK: s_bitset1_b64 s[10:11], m0    ; encoding: [0x7c,0x1b,0x8a,0xbe]
+0x7c,0x1b,0x8a,0xbe
+
+# CHECK: s_bitset1_b64 s[10:11], exec_lo    ; encoding: [0x7e,0x1b,0x8a,0xbe]
+0x7e,0x1b,0x8a,0xbe
+
+# CHECK: s_bitset1_b64 s[10:11], exec_hi    ; encoding: [0x7f,0x1b,0x8a,0xbe]
+0x7f,0x1b,0x8a,0xbe
+
+# CHECK: s_bitset1_b64 s[10:11], 0    ; encoding: [0x80,0x1b,0x8a,0xbe]
+0x80,0x1b,0x8a,0xbe
+
+# CHECK: s_bitset1_b64 s[10:11], -1    ; encoding: [0xc1,0x1b,0x8a,0xbe]
+0xc1,0x1b,0x8a,0xbe
+
+# CHECK: s_bitset1_b64 s[10:11], 0.5    ; encoding: [0xf0,0x1b,0x8a,0xbe]
+0xf0,0x1b,0x8a,0xbe
+
+# CHECK: s_bitset1_b64 s[10:11], -4.0    ; encoding: [0xf7,0x1b,0x8a,0xbe]
+0xf7,0x1b,0x8a,0xbe
+
+# CHECK: s_bitset1_b64 s[10:11], 0xaf123456    ; encoding: [0xff,0x1b,0x8a,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x1b,0x8a,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bitset1_b64 s[10:11], 0x3f717273    ; encoding: [0xff,0x1b,0x8a,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x1b,0x8a,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_getpc_b64 s[10:11]    ; encoding: [0x00,0x1c,0x8a,0xbe]
+0x00,0x1c,0x8a,0xbe
+
+# CHECK: s_getpc_b64 s[12:13]    ; encoding: [0x00,0x1c,0x8c,0xbe]
+0x00,0x1c,0x8c,0xbe
+
+# CHECK: s_getpc_b64 s[100:101]    ; encoding: [0x00,0x1c,0xe4,0xbe]
+0x00,0x1c,0xe4,0xbe
+
+# CHECK: s_getpc_b64 flat_scratch    ; encoding: [0x00,0x1c,0xe6,0xbe]
+0x00,0x1c,0xe6,0xbe
+
+# CHECK: s_getpc_b64 vcc    ; encoding: [0x00,0x1c,0xea,0xbe]
+0x00,0x1c,0xea,0xbe
+
+# CHECK: s_getpc_b64 exec    ; encoding: [0x00,0x1c,0xfe,0xbe]
+0x00,0x1c,0xfe,0xbe
+
+# CHECK: s_setpc_b64 s[2:3]    ; encoding: [0x02,0x1d,0x80,0xbe]
+0x02,0x1d,0x80,0xbe
+
+# CHECK: s_setpc_b64 s[4:5]    ; encoding: [0x04,0x1d,0x80,0xbe]
+0x04,0x1d,0x80,0xbe
+
+# CHECK: s_setpc_b64 s[100:101]    ; encoding: [0x64,0x1d,0x80,0xbe]
+0x64,0x1d,0x80,0xbe
+
+# CHECK: s_setpc_b64 flat_scratch    ; encoding: [0x66,0x1d,0x80,0xbe]
+0x66,0x1d,0x80,0xbe
+
+# CHECK: s_setpc_b64 vcc    ; encoding: [0x6a,0x1d,0x80,0xbe]
+0x6a,0x1d,0x80,0xbe
+
+# CHECK: s_swappc_b64 s[10:11], s[2:3]    ; encoding: [0x02,0x1e,0x8a,0xbe]
+0x02,0x1e,0x8a,0xbe
+
+# CHECK: s_swappc_b64 s[12:13], s[2:3]    ; encoding: [0x02,0x1e,0x8c,0xbe]
+0x02,0x1e,0x8c,0xbe
+
+# CHECK: s_swappc_b64 s[100:101], s[2:3]    ; encoding: [0x02,0x1e,0xe4,0xbe]
+0x02,0x1e,0xe4,0xbe
+
+# CHECK: s_swappc_b64 flat_scratch, s[2:3]    ; encoding: [0x02,0x1e,0xe6,0xbe]
+0x02,0x1e,0xe6,0xbe
+
+# CHECK: s_swappc_b64 vcc, s[2:3]    ; encoding: [0x02,0x1e,0xea,0xbe]
+0x02,0x1e,0xea,0xbe
+
+# CHECK: s_swappc_b64 exec, s[2:3]    ; encoding: [0x02,0x1e,0xfe,0xbe]
+0x02,0x1e,0xfe,0xbe
+
+# CHECK: s_swappc_b64 s[10:11], s[4:5]    ; encoding: [0x04,0x1e,0x8a,0xbe]
+0x04,0x1e,0x8a,0xbe
+
+# CHECK: s_swappc_b64 s[10:11], s[100:101]    ; encoding: [0x64,0x1e,0x8a,0xbe]
+0x64,0x1e,0x8a,0xbe
+
+# CHECK: s_swappc_b64 s[10:11], flat_scratch    ; encoding: [0x66,0x1e,0x8a,0xbe]
+0x66,0x1e,0x8a,0xbe
+
+# CHECK: s_swappc_b64 s[10:11], vcc    ; encoding: [0x6a,0x1e,0x8a,0xbe]
+0x6a,0x1e,0x8a,0xbe
+
+# CHECK: s_rfe_b64 s[2:3]    ; encoding: [0x02,0x1f,0x80,0xbe]
+0x02,0x1f,0x80,0xbe
+
+# CHECK: s_rfe_b64 s[4:5]    ; encoding: [0x04,0x1f,0x80,0xbe]
+0x04,0x1f,0x80,0xbe
+
+# CHECK: s_rfe_b64 s[100:101]    ; encoding: [0x64,0x1f,0x80,0xbe]
+0x64,0x1f,0x80,0xbe
+
+# CHECK: s_rfe_b64 flat_scratch    ; encoding: [0x66,0x1f,0x80,0xbe]
+0x66,0x1f,0x80,0xbe
+
+# CHECK: s_rfe_b64 vcc    ; encoding: [0x6a,0x1f,0x80,0xbe]
+0x6a,0x1f,0x80,0xbe
+
+# CHECK: s_and_saveexec_b64 s[10:11], s[2:3]    ; encoding: [0x02,0x20,0x8a,0xbe]
+0x02,0x20,0x8a,0xbe
+
+# CHECK: s_and_saveexec_b64 s[12:13], s[2:3]    ; encoding: [0x02,0x20,0x8c,0xbe]
+0x02,0x20,0x8c,0xbe
+
+# CHECK: s_and_saveexec_b64 s[100:101], s[2:3]    ; encoding: [0x02,0x20,0xe4,0xbe]
+0x02,0x20,0xe4,0xbe
+
+# CHECK: s_and_saveexec_b64 flat_scratch, s[2:3]    ; encoding: [0x02,0x20,0xe6,0xbe]
+0x02,0x20,0xe6,0xbe
+
+# CHECK: s_and_saveexec_b64 vcc, s[2:3]    ; encoding: [0x02,0x20,0xea,0xbe]
+0x02,0x20,0xea,0xbe
+
+# CHECK: s_and_saveexec_b64 s[10:11], s[4:5]    ; encoding: [0x04,0x20,0x8a,0xbe]
+0x04,0x20,0x8a,0xbe
+
+# CHECK: s_and_saveexec_b64 s[10:11], s[100:101]    ; encoding: [0x64,0x20,0x8a,0xbe]
+0x64,0x20,0x8a,0xbe
+
+# CHECK: s_and_saveexec_b64 s[10:11], flat_scratch    ; encoding: [0x66,0x20,0x8a,0xbe]
+0x66,0x20,0x8a,0xbe
+
+# CHECK: s_and_saveexec_b64 s[10:11], vcc    ; encoding: [0x6a,0x20,0x8a,0xbe]
+0x6a,0x20,0x8a,0xbe
+
+# CHECK: s_and_saveexec_b64 s[10:11], exec    ; encoding: [0x7e,0x20,0x8a,0xbe]
+0x7e,0x20,0x8a,0xbe
+
+# CHECK: s_and_saveexec_b64 s[10:11], 0    ; encoding: [0x80,0x20,0x8a,0xbe]
+0x80,0x20,0x8a,0xbe
+
+# CHECK: s_and_saveexec_b64 s[10:11], -1    ; encoding: [0xc1,0x20,0x8a,0xbe]
+0xc1,0x20,0x8a,0xbe
+
+# CHECK: s_and_saveexec_b64 s[10:11], 0.5    ; encoding: [0xf0,0x20,0x8a,0xbe]
+0xf0,0x20,0x8a,0xbe
+
+# CHECK: s_and_saveexec_b64 s[10:11], -4.0    ; encoding: [0xf7,0x20,0x8a,0xbe]
+0xf7,0x20,0x8a,0xbe
+
+# CHECK: s_and_saveexec_b64 s[10:11], 0xaf123456    ; encoding: [0xff,0x20,0x8a,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x20,0x8a,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_and_saveexec_b64 s[10:11], 0x3f717273    ; encoding: [0xff,0x20,0x8a,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x20,0x8a,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_or_saveexec_b64 s[10:11], s[2:3]    ; encoding: [0x02,0x21,0x8a,0xbe]
+0x02,0x21,0x8a,0xbe
+
+# CHECK: s_or_saveexec_b64 s[12:13], s[2:3]    ; encoding: [0x02,0x21,0x8c,0xbe]
+0x02,0x21,0x8c,0xbe
+
+# CHECK: s_or_saveexec_b64 s[100:101], s[2:3]    ; encoding: [0x02,0x21,0xe4,0xbe]
+0x02,0x21,0xe4,0xbe
+
+# CHECK: s_or_saveexec_b64 flat_scratch, s[2:3]    ; encoding: [0x02,0x21,0xe6,0xbe]
+0x02,0x21,0xe6,0xbe
+
+# CHECK: s_or_saveexec_b64 vcc, s[2:3]    ; encoding: [0x02,0x21,0xea,0xbe]
+0x02,0x21,0xea,0xbe
+
+# CHECK: s_or_saveexec_b64 s[10:11], s[4:5]    ; encoding: [0x04,0x21,0x8a,0xbe]
+0x04,0x21,0x8a,0xbe
+
+# CHECK: s_or_saveexec_b64 s[10:11], s[100:101]    ; encoding: [0x64,0x21,0x8a,0xbe]
+0x64,0x21,0x8a,0xbe
+
+# CHECK: s_or_saveexec_b64 s[10:11], flat_scratch    ; encoding: [0x66,0x21,0x8a,0xbe]
+0x66,0x21,0x8a,0xbe
+
+# CHECK: s_or_saveexec_b64 s[10:11], vcc    ; encoding: [0x6a,0x21,0x8a,0xbe]
+0x6a,0x21,0x8a,0xbe
+
+# CHECK: s_or_saveexec_b64 s[10:11], exec    ; encoding: [0x7e,0x21,0x8a,0xbe]
+0x7e,0x21,0x8a,0xbe
+
+# CHECK: s_or_saveexec_b64 s[10:11], 0    ; encoding: [0x80,0x21,0x8a,0xbe]
+0x80,0x21,0x8a,0xbe
+
+# CHECK: s_or_saveexec_b64 s[10:11], -1    ; encoding: [0xc1,0x21,0x8a,0xbe]
+0xc1,0x21,0x8a,0xbe
+
+# CHECK: s_or_saveexec_b64 s[10:11], 0.5    ; encoding: [0xf0,0x21,0x8a,0xbe]
+0xf0,0x21,0x8a,0xbe
+
+# CHECK: s_or_saveexec_b64 s[10:11], -4.0    ; encoding: [0xf7,0x21,0x8a,0xbe]
+0xf7,0x21,0x8a,0xbe
+
+# CHECK: s_or_saveexec_b64 s[10:11], 0xaf123456    ; encoding: [0xff,0x21,0x8a,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x21,0x8a,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_or_saveexec_b64 s[10:11], 0x3f717273    ; encoding: [0xff,0x21,0x8a,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x21,0x8a,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_xor_saveexec_b64 s[10:11], s[2:3]    ; encoding: [0x02,0x22,0x8a,0xbe]
+0x02,0x22,0x8a,0xbe
+
+# CHECK: s_xor_saveexec_b64 s[12:13], s[2:3]    ; encoding: [0x02,0x22,0x8c,0xbe]
+0x02,0x22,0x8c,0xbe
+
+# CHECK: s_xor_saveexec_b64 s[100:101], s[2:3]    ; encoding: [0x02,0x22,0xe4,0xbe]
+0x02,0x22,0xe4,0xbe
+
+# CHECK: s_xor_saveexec_b64 flat_scratch, s[2:3]    ; encoding: [0x02,0x22,0xe6,0xbe]
+0x02,0x22,0xe6,0xbe
+
+# CHECK: s_xor_saveexec_b64 vcc, s[2:3]    ; encoding: [0x02,0x22,0xea,0xbe]
+0x02,0x22,0xea,0xbe
+
+# CHECK: s_xor_saveexec_b64 s[10:11], s[4:5]    ; encoding: [0x04,0x22,0x8a,0xbe]
+0x04,0x22,0x8a,0xbe
+
+# CHECK: s_xor_saveexec_b64 s[10:11], s[100:101]    ; encoding: [0x64,0x22,0x8a,0xbe]
+0x64,0x22,0x8a,0xbe
+
+# CHECK: s_xor_saveexec_b64 s[10:11], flat_scratch    ; encoding: [0x66,0x22,0x8a,0xbe]
+0x66,0x22,0x8a,0xbe
+
+# CHECK: s_xor_saveexec_b64 s[10:11], vcc    ; encoding: [0x6a,0x22,0x8a,0xbe]
+0x6a,0x22,0x8a,0xbe
+
+# CHECK: s_xor_saveexec_b64 s[10:11], exec    ; encoding: [0x7e,0x22,0x8a,0xbe]
+0x7e,0x22,0x8a,0xbe
+
+# CHECK: s_xor_saveexec_b64 s[10:11], 0    ; encoding: [0x80,0x22,0x8a,0xbe]
+0x80,0x22,0x8a,0xbe
+
+# CHECK: s_xor_saveexec_b64 s[10:11], -1    ; encoding: [0xc1,0x22,0x8a,0xbe]
+0xc1,0x22,0x8a,0xbe
+
+# CHECK: s_xor_saveexec_b64 s[10:11], 0.5    ; encoding: [0xf0,0x22,0x8a,0xbe]
+0xf0,0x22,0x8a,0xbe
+
+# CHECK: s_xor_saveexec_b64 s[10:11], -4.0    ; encoding: [0xf7,0x22,0x8a,0xbe]
+0xf7,0x22,0x8a,0xbe
+
+# CHECK: s_xor_saveexec_b64 s[10:11], 0xaf123456    ; encoding: [0xff,0x22,0x8a,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x22,0x8a,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_xor_saveexec_b64 s[10:11], 0x3f717273    ; encoding: [0xff,0x22,0x8a,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x22,0x8a,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_andn2_saveexec_b64 s[10:11], s[2:3]    ; encoding: [0x02,0x23,0x8a,0xbe]
+0x02,0x23,0x8a,0xbe
+
+# CHECK: s_andn2_saveexec_b64 s[12:13], s[2:3]    ; encoding: [0x02,0x23,0x8c,0xbe]
+0x02,0x23,0x8c,0xbe
+
+# CHECK: s_andn2_saveexec_b64 s[100:101], s[2:3]    ; encoding: [0x02,0x23,0xe4,0xbe]
+0x02,0x23,0xe4,0xbe
+
+# CHECK: s_andn2_saveexec_b64 flat_scratch, s[2:3]    ; encoding: [0x02,0x23,0xe6,0xbe]
+0x02,0x23,0xe6,0xbe
+
+# CHECK: s_andn2_saveexec_b64 vcc, s[2:3]    ; encoding: [0x02,0x23,0xea,0xbe]
+0x02,0x23,0xea,0xbe
+
+# CHECK: s_andn2_saveexec_b64 s[10:11], s[4:5]    ; encoding: [0x04,0x23,0x8a,0xbe]
+0x04,0x23,0x8a,0xbe
+
+# CHECK: s_andn2_saveexec_b64 s[10:11], s[100:101]    ; encoding: [0x64,0x23,0x8a,0xbe]
+0x64,0x23,0x8a,0xbe
+
+# CHECK: s_andn2_saveexec_b64 s[10:11], flat_scratch    ; encoding: [0x66,0x23,0x8a,0xbe]
+0x66,0x23,0x8a,0xbe
+
+# CHECK: s_andn2_saveexec_b64 s[10:11], vcc    ; encoding: [0x6a,0x23,0x8a,0xbe]
+0x6a,0x23,0x8a,0xbe
+
+# CHECK: s_andn2_saveexec_b64 s[10:11], exec    ; encoding: [0x7e,0x23,0x8a,0xbe]
+0x7e,0x23,0x8a,0xbe
+
+# CHECK: s_andn2_saveexec_b64 s[10:11], 0    ; encoding: [0x80,0x23,0x8a,0xbe]
+0x80,0x23,0x8a,0xbe
+
+# CHECK: s_andn2_saveexec_b64 s[10:11], -1    ; encoding: [0xc1,0x23,0x8a,0xbe]
+0xc1,0x23,0x8a,0xbe
+
+# CHECK: s_andn2_saveexec_b64 s[10:11], 0.5    ; encoding: [0xf0,0x23,0x8a,0xbe]
+0xf0,0x23,0x8a,0xbe
+
+# CHECK: s_andn2_saveexec_b64 s[10:11], -4.0    ; encoding: [0xf7,0x23,0x8a,0xbe]
+0xf7,0x23,0x8a,0xbe
+
+# CHECK: s_andn2_saveexec_b64 s[10:11], 0xaf123456    ; encoding: [0xff,0x23,0x8a,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x23,0x8a,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_andn2_saveexec_b64 s[10:11], 0x3f717273    ; encoding: [0xff,0x23,0x8a,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x23,0x8a,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_orn2_saveexec_b64 s[10:11], s[2:3]    ; encoding: [0x02,0x24,0x8a,0xbe]
+0x02,0x24,0x8a,0xbe
+
+# CHECK: s_orn2_saveexec_b64 s[12:13], s[2:3]    ; encoding: [0x02,0x24,0x8c,0xbe]
+0x02,0x24,0x8c,0xbe
+
+# CHECK: s_orn2_saveexec_b64 s[100:101], s[2:3]    ; encoding: [0x02,0x24,0xe4,0xbe]
+0x02,0x24,0xe4,0xbe
+
+# CHECK: s_orn2_saveexec_b64 flat_scratch, s[2:3]    ; encoding: [0x02,0x24,0xe6,0xbe]
+0x02,0x24,0xe6,0xbe
+
+# CHECK: s_orn2_saveexec_b64 vcc, s[2:3]    ; encoding: [0x02,0x24,0xea,0xbe]
+0x02,0x24,0xea,0xbe
+
+# CHECK: s_orn2_saveexec_b64 s[10:11], s[4:5]    ; encoding: [0x04,0x24,0x8a,0xbe]
+0x04,0x24,0x8a,0xbe
+
+# CHECK: s_orn2_saveexec_b64 s[10:11], s[100:101]    ; encoding: [0x64,0x24,0x8a,0xbe]
+0x64,0x24,0x8a,0xbe
+
+# CHECK: s_orn2_saveexec_b64 s[10:11], flat_scratch    ; encoding: [0x66,0x24,0x8a,0xbe]
+0x66,0x24,0x8a,0xbe
+
+# CHECK: s_orn2_saveexec_b64 s[10:11], vcc    ; encoding: [0x6a,0x24,0x8a,0xbe]
+0x6a,0x24,0x8a,0xbe
+
+# CHECK: s_orn2_saveexec_b64 s[10:11], exec    ; encoding: [0x7e,0x24,0x8a,0xbe]
+0x7e,0x24,0x8a,0xbe
+
+# CHECK: s_orn2_saveexec_b64 s[10:11], 0    ; encoding: [0x80,0x24,0x8a,0xbe]
+0x80,0x24,0x8a,0xbe
+
+# CHECK: s_orn2_saveexec_b64 s[10:11], -1    ; encoding: [0xc1,0x24,0x8a,0xbe]
+0xc1,0x24,0x8a,0xbe
+
+# CHECK: s_orn2_saveexec_b64 s[10:11], 0.5    ; encoding: [0xf0,0x24,0x8a,0xbe]
+0xf0,0x24,0x8a,0xbe
+
+# CHECK: s_orn2_saveexec_b64 s[10:11], -4.0    ; encoding: [0xf7,0x24,0x8a,0xbe]
+0xf7,0x24,0x8a,0xbe
+
+# CHECK: s_orn2_saveexec_b64 s[10:11], 0xaf123456    ; encoding: [0xff,0x24,0x8a,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x24,0x8a,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_orn2_saveexec_b64 s[10:11], 0x3f717273    ; encoding: [0xff,0x24,0x8a,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x24,0x8a,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_nand_saveexec_b64 s[10:11], s[2:3]    ; encoding: [0x02,0x25,0x8a,0xbe]
+0x02,0x25,0x8a,0xbe
+
+# CHECK: s_nand_saveexec_b64 s[12:13], s[2:3]    ; encoding: [0x02,0x25,0x8c,0xbe]
+0x02,0x25,0x8c,0xbe
+
+# CHECK: s_nand_saveexec_b64 s[100:101], s[2:3]    ; encoding: [0x02,0x25,0xe4,0xbe]
+0x02,0x25,0xe4,0xbe
+
+# CHECK: s_nand_saveexec_b64 flat_scratch, s[2:3]    ; encoding: [0x02,0x25,0xe6,0xbe]
+0x02,0x25,0xe6,0xbe
+
+# CHECK: s_nand_saveexec_b64 vcc, s[2:3]    ; encoding: [0x02,0x25,0xea,0xbe]
+0x02,0x25,0xea,0xbe
+
+# CHECK: s_nand_saveexec_b64 s[10:11], s[4:5]    ; encoding: [0x04,0x25,0x8a,0xbe]
+0x04,0x25,0x8a,0xbe
+
+# CHECK: s_nand_saveexec_b64 s[10:11], s[100:101]    ; encoding: [0x64,0x25,0x8a,0xbe]
+0x64,0x25,0x8a,0xbe
+
+# CHECK: s_nand_saveexec_b64 s[10:11], flat_scratch    ; encoding: [0x66,0x25,0x8a,0xbe]
+0x66,0x25,0x8a,0xbe
+
+# CHECK: s_nand_saveexec_b64 s[10:11], vcc    ; encoding: [0x6a,0x25,0x8a,0xbe]
+0x6a,0x25,0x8a,0xbe
+
+# CHECK: s_nand_saveexec_b64 s[10:11], exec    ; encoding: [0x7e,0x25,0x8a,0xbe]
+0x7e,0x25,0x8a,0xbe
+
+# CHECK: s_nand_saveexec_b64 s[10:11], 0    ; encoding: [0x80,0x25,0x8a,0xbe]
+0x80,0x25,0x8a,0xbe
+
+# CHECK: s_nand_saveexec_b64 s[10:11], -1    ; encoding: [0xc1,0x25,0x8a,0xbe]
+0xc1,0x25,0x8a,0xbe
+
+# CHECK: s_nand_saveexec_b64 s[10:11], 0.5    ; encoding: [0xf0,0x25,0x8a,0xbe]
+0xf0,0x25,0x8a,0xbe
+
+# CHECK: s_nand_saveexec_b64 s[10:11], -4.0    ; encoding: [0xf7,0x25,0x8a,0xbe]
+0xf7,0x25,0x8a,0xbe
+
+# CHECK: s_nand_saveexec_b64 s[10:11], 0xaf123456    ; encoding: [0xff,0x25,0x8a,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x25,0x8a,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_nand_saveexec_b64 s[10:11], 0x3f717273    ; encoding: [0xff,0x25,0x8a,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x25,0x8a,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_nor_saveexec_b64 s[10:11], s[2:3]    ; encoding: [0x02,0x26,0x8a,0xbe]
+0x02,0x26,0x8a,0xbe
+
+# CHECK: s_nor_saveexec_b64 s[12:13], s[2:3]    ; encoding: [0x02,0x26,0x8c,0xbe]
+0x02,0x26,0x8c,0xbe
+
+# CHECK: s_nor_saveexec_b64 s[100:101], s[2:3]    ; encoding: [0x02,0x26,0xe4,0xbe]
+0x02,0x26,0xe4,0xbe
+
+# CHECK: s_nor_saveexec_b64 flat_scratch, s[2:3]    ; encoding: [0x02,0x26,0xe6,0xbe]
+0x02,0x26,0xe6,0xbe
+
+# CHECK: s_nor_saveexec_b64 vcc, s[2:3]    ; encoding: [0x02,0x26,0xea,0xbe]
+0x02,0x26,0xea,0xbe
+
+# CHECK: s_nor_saveexec_b64 s[10:11], s[4:5]    ; encoding: [0x04,0x26,0x8a,0xbe]
+0x04,0x26,0x8a,0xbe
+
+# CHECK: s_nor_saveexec_b64 s[10:11], s[100:101]    ; encoding: [0x64,0x26,0x8a,0xbe]
+0x64,0x26,0x8a,0xbe
+
+# CHECK: s_nor_saveexec_b64 s[10:11], flat_scratch    ; encoding: [0x66,0x26,0x8a,0xbe]
+0x66,0x26,0x8a,0xbe
+
+# CHECK: s_nor_saveexec_b64 s[10:11], vcc    ; encoding: [0x6a,0x26,0x8a,0xbe]
+0x6a,0x26,0x8a,0xbe
+
+# CHECK: s_nor_saveexec_b64 s[10:11], exec    ; encoding: [0x7e,0x26,0x8a,0xbe]
+0x7e,0x26,0x8a,0xbe
+
+# CHECK: s_nor_saveexec_b64 s[10:11], 0    ; encoding: [0x80,0x26,0x8a,0xbe]
+0x80,0x26,0x8a,0xbe
+
+# CHECK: s_nor_saveexec_b64 s[10:11], -1    ; encoding: [0xc1,0x26,0x8a,0xbe]
+0xc1,0x26,0x8a,0xbe
+
+# CHECK: s_nor_saveexec_b64 s[10:11], 0.5    ; encoding: [0xf0,0x26,0x8a,0xbe]
+0xf0,0x26,0x8a,0xbe
+
+# CHECK: s_nor_saveexec_b64 s[10:11], -4.0    ; encoding: [0xf7,0x26,0x8a,0xbe]
+0xf7,0x26,0x8a,0xbe
+
+# CHECK: s_nor_saveexec_b64 s[10:11], 0xaf123456    ; encoding: [0xff,0x26,0x8a,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x26,0x8a,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_nor_saveexec_b64 s[10:11], 0x3f717273    ; encoding: [0xff,0x26,0x8a,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x26,0x8a,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_xnor_saveexec_b64 s[10:11], s[2:3]    ; encoding: [0x02,0x27,0x8a,0xbe]
+0x02,0x27,0x8a,0xbe
+
+# CHECK: s_xnor_saveexec_b64 s[12:13], s[2:3]    ; encoding: [0x02,0x27,0x8c,0xbe]
+0x02,0x27,0x8c,0xbe
+
+# CHECK: s_xnor_saveexec_b64 s[100:101], s[2:3]    ; encoding: [0x02,0x27,0xe4,0xbe]
+0x02,0x27,0xe4,0xbe
+
+# CHECK: s_xnor_saveexec_b64 flat_scratch, s[2:3]    ; encoding: [0x02,0x27,0xe6,0xbe]
+0x02,0x27,0xe6,0xbe
+
+# CHECK: s_xnor_saveexec_b64 vcc, s[2:3]    ; encoding: [0x02,0x27,0xea,0xbe]
+0x02,0x27,0xea,0xbe
+
+# CHECK: s_xnor_saveexec_b64 s[10:11], s[4:5]    ; encoding: [0x04,0x27,0x8a,0xbe]
+0x04,0x27,0x8a,0xbe
+
+# CHECK: s_xnor_saveexec_b64 s[10:11], s[100:101]    ; encoding: [0x64,0x27,0x8a,0xbe]
+0x64,0x27,0x8a,0xbe
+
+# CHECK: s_xnor_saveexec_b64 s[10:11], flat_scratch    ; encoding: [0x66,0x27,0x8a,0xbe]
+0x66,0x27,0x8a,0xbe
+
+# CHECK: s_xnor_saveexec_b64 s[10:11], vcc    ; encoding: [0x6a,0x27,0x8a,0xbe]
+0x6a,0x27,0x8a,0xbe
+
+# CHECK: s_xnor_saveexec_b64 s[10:11], exec    ; encoding: [0x7e,0x27,0x8a,0xbe]
+0x7e,0x27,0x8a,0xbe
+
+# CHECK: s_xnor_saveexec_b64 s[10:11], 0    ; encoding: [0x80,0x27,0x8a,0xbe]
+0x80,0x27,0x8a,0xbe
+
+# CHECK: s_xnor_saveexec_b64 s[10:11], -1    ; encoding: [0xc1,0x27,0x8a,0xbe]
+0xc1,0x27,0x8a,0xbe
+
+# CHECK: s_xnor_saveexec_b64 s[10:11], 0.5    ; encoding: [0xf0,0x27,0x8a,0xbe]
+0xf0,0x27,0x8a,0xbe
+
+# CHECK: s_xnor_saveexec_b64 s[10:11], -4.0    ; encoding: [0xf7,0x27,0x8a,0xbe]
+0xf7,0x27,0x8a,0xbe
+
+# CHECK: s_xnor_saveexec_b64 s[10:11], 0xaf123456    ; encoding: [0xff,0x27,0x8a,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x27,0x8a,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_xnor_saveexec_b64 s[10:11], 0x3f717273    ; encoding: [0xff,0x27,0x8a,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x27,0x8a,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_quadmask_b32 s5, s1    ; encoding: [0x01,0x28,0x85,0xbe]
+0x01,0x28,0x85,0xbe
+
+# CHECK: s_quadmask_b32 s101, s1    ; encoding: [0x01,0x28,0xe5,0xbe]
+0x01,0x28,0xe5,0xbe
+
+# CHECK: s_quadmask_b32 flat_scratch_lo, s1    ; encoding: [0x01,0x28,0xe6,0xbe]
+0x01,0x28,0xe6,0xbe
+
+# CHECK: s_quadmask_b32 flat_scratch_hi, s1    ; encoding: [0x01,0x28,0xe7,0xbe]
+0x01,0x28,0xe7,0xbe
+
+# CHECK: s_quadmask_b32 vcc_lo, s1    ; encoding: [0x01,0x28,0xea,0xbe]
+0x01,0x28,0xea,0xbe
+
+# CHECK: s_quadmask_b32 vcc_hi, s1    ; encoding: [0x01,0x28,0xeb,0xbe]
+0x01,0x28,0xeb,0xbe
+
+# CHECK: s_quadmask_b32 m0, s1    ; encoding: [0x01,0x28,0xfc,0xbe]
+0x01,0x28,0xfc,0xbe
+
+# CHECK: s_quadmask_b32 exec_lo, s1    ; encoding: [0x01,0x28,0xfe,0xbe]
+0x01,0x28,0xfe,0xbe
+
+# CHECK: s_quadmask_b32 exec_hi, s1    ; encoding: [0x01,0x28,0xff,0xbe]
+0x01,0x28,0xff,0xbe
+
+# CHECK: s_quadmask_b32 s5, s101    ; encoding: [0x65,0x28,0x85,0xbe]
+0x65,0x28,0x85,0xbe
+
+# CHECK: s_quadmask_b32 s5, flat_scratch_lo    ; encoding: [0x66,0x28,0x85,0xbe]
+0x66,0x28,0x85,0xbe
+
+# CHECK: s_quadmask_b32 s5, flat_scratch_hi    ; encoding: [0x67,0x28,0x85,0xbe]
+0x67,0x28,0x85,0xbe
+
+# CHECK: s_quadmask_b32 s5, vcc_lo    ; encoding: [0x6a,0x28,0x85,0xbe]
+0x6a,0x28,0x85,0xbe
+
+# CHECK: s_quadmask_b32 s5, vcc_hi    ; encoding: [0x6b,0x28,0x85,0xbe]
+0x6b,0x28,0x85,0xbe
+
+# CHECK: s_quadmask_b32 s5, m0    ; encoding: [0x7c,0x28,0x85,0xbe]
+0x7c,0x28,0x85,0xbe
+
+# CHECK: s_quadmask_b32 s5, exec_lo    ; encoding: [0x7e,0x28,0x85,0xbe]
+0x7e,0x28,0x85,0xbe
+
+# CHECK: s_quadmask_b32 s5, exec_hi    ; encoding: [0x7f,0x28,0x85,0xbe]
+0x7f,0x28,0x85,0xbe
+
+# CHECK: s_quadmask_b32 s5, 0    ; encoding: [0x80,0x28,0x85,0xbe]
+0x80,0x28,0x85,0xbe
+
+# CHECK: s_quadmask_b32 s5, -1    ; encoding: [0xc1,0x28,0x85,0xbe]
+0xc1,0x28,0x85,0xbe
+
+# CHECK: s_quadmask_b32 s5, 0.5    ; encoding: [0xf0,0x28,0x85,0xbe]
+0xf0,0x28,0x85,0xbe
+
+# CHECK: s_quadmask_b32 s5, -4.0    ; encoding: [0xf7,0x28,0x85,0xbe]
+0xf7,0x28,0x85,0xbe
+
+# CHECK: s_quadmask_b32 s5, 0xaf123456    ; encoding: [0xff,0x28,0x85,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x28,0x85,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_quadmask_b32 s5, 0x3f717273    ; encoding: [0xff,0x28,0x85,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x28,0x85,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_quadmask_b64 s[10:11], s[2:3]    ; encoding: [0x02,0x29,0x8a,0xbe]
+0x02,0x29,0x8a,0xbe
+
+# CHECK: s_quadmask_b64 s[12:13], s[2:3]    ; encoding: [0x02,0x29,0x8c,0xbe]
+0x02,0x29,0x8c,0xbe
+
+# CHECK: s_quadmask_b64 s[100:101], s[2:3]    ; encoding: [0x02,0x29,0xe4,0xbe]
+0x02,0x29,0xe4,0xbe
+
+# CHECK: s_quadmask_b64 flat_scratch, s[2:3]    ; encoding: [0x02,0x29,0xe6,0xbe]
+0x02,0x29,0xe6,0xbe
+
+# CHECK: s_quadmask_b64 vcc, s[2:3]    ; encoding: [0x02,0x29,0xea,0xbe]
+0x02,0x29,0xea,0xbe
+
+# CHECK: s_quadmask_b64 exec, s[2:3]    ; encoding: [0x02,0x29,0xfe,0xbe]
+0x02,0x29,0xfe,0xbe
+
+# CHECK: s_quadmask_b64 s[10:11], s[4:5]    ; encoding: [0x04,0x29,0x8a,0xbe]
+0x04,0x29,0x8a,0xbe
+
+# CHECK: s_quadmask_b64 s[10:11], s[100:101]    ; encoding: [0x64,0x29,0x8a,0xbe]
+0x64,0x29,0x8a,0xbe
+
+# CHECK: s_quadmask_b64 s[10:11], flat_scratch    ; encoding: [0x66,0x29,0x8a,0xbe]
+0x66,0x29,0x8a,0xbe
+
+# CHECK: s_quadmask_b64 s[10:11], vcc    ; encoding: [0x6a,0x29,0x8a,0xbe]
+0x6a,0x29,0x8a,0xbe
+
+# CHECK: s_quadmask_b64 s[10:11], exec    ; encoding: [0x7e,0x29,0x8a,0xbe]
+0x7e,0x29,0x8a,0xbe
+
+# CHECK: s_quadmask_b64 s[10:11], 0    ; encoding: [0x80,0x29,0x8a,0xbe]
+0x80,0x29,0x8a,0xbe
+
+# CHECK: s_quadmask_b64 s[10:11], -1    ; encoding: [0xc1,0x29,0x8a,0xbe]
+0xc1,0x29,0x8a,0xbe
+
+# CHECK: s_quadmask_b64 s[10:11], 0.5    ; encoding: [0xf0,0x29,0x8a,0xbe]
+0xf0,0x29,0x8a,0xbe
+
+# CHECK: s_quadmask_b64 s[10:11], -4.0    ; encoding: [0xf7,0x29,0x8a,0xbe]
+0xf7,0x29,0x8a,0xbe
+
+# CHECK: s_quadmask_b64 s[10:11], 0xaf123456    ; encoding: [0xff,0x29,0x8a,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x29,0x8a,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_quadmask_b64 s[10:11], 0x3f717273    ; encoding: [0xff,0x29,0x8a,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x29,0x8a,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_movrels_b32 s5, s1    ; encoding: [0x01,0x2a,0x85,0xbe]
+0x01,0x2a,0x85,0xbe
+
+# CHECK: s_movrels_b32 s101, s1    ; encoding: [0x01,0x2a,0xe5,0xbe]
+0x01,0x2a,0xe5,0xbe
+
+# CHECK: s_movrels_b32 flat_scratch_lo, s1    ; encoding: [0x01,0x2a,0xe6,0xbe]
+0x01,0x2a,0xe6,0xbe
+
+# CHECK: s_movrels_b32 flat_scratch_hi, s1    ; encoding: [0x01,0x2a,0xe7,0xbe]
+0x01,0x2a,0xe7,0xbe
+
+# CHECK: s_movrels_b32 vcc_lo, s1    ; encoding: [0x01,0x2a,0xea,0xbe]
+0x01,0x2a,0xea,0xbe
+
+# CHECK: s_movrels_b32 vcc_hi, s1    ; encoding: [0x01,0x2a,0xeb,0xbe]
+0x01,0x2a,0xeb,0xbe
+
+# CHECK: s_movrels_b32 m0, s1    ; encoding: [0x01,0x2a,0xfc,0xbe]
+0x01,0x2a,0xfc,0xbe
+
+# CHECK: s_movrels_b32 exec_lo, s1    ; encoding: [0x01,0x2a,0xfe,0xbe]
+0x01,0x2a,0xfe,0xbe
+
+# CHECK: s_movrels_b32 exec_hi, s1    ; encoding: [0x01,0x2a,0xff,0xbe]
+0x01,0x2a,0xff,0xbe
+
+# CHECK: s_movrels_b32 s5, s101    ; encoding: [0x65,0x2a,0x85,0xbe]
+0x65,0x2a,0x85,0xbe
+
+# CHECK: s_movrels_b32 s5, flat_scratch_lo    ; encoding: [0x66,0x2a,0x85,0xbe]
+0x66,0x2a,0x85,0xbe
+
+# CHECK: s_movrels_b32 s5, flat_scratch_hi    ; encoding: [0x67,0x2a,0x85,0xbe]
+0x67,0x2a,0x85,0xbe
+
+# CHECK: s_movrels_b32 s5, vcc_lo    ; encoding: [0x6a,0x2a,0x85,0xbe]
+0x6a,0x2a,0x85,0xbe
+
+# CHECK: s_movrels_b32 s5, vcc_hi    ; encoding: [0x6b,0x2a,0x85,0xbe]
+0x6b,0x2a,0x85,0xbe
+
+# CHECK: s_movrels_b64 s[10:11], s[2:3]    ; encoding: [0x02,0x2b,0x8a,0xbe]
+0x02,0x2b,0x8a,0xbe
+
+# CHECK: s_movrels_b64 s[12:13], s[2:3]    ; encoding: [0x02,0x2b,0x8c,0xbe]
+0x02,0x2b,0x8c,0xbe
+
+# CHECK: s_movrels_b64 s[100:101], s[2:3]    ; encoding: [0x02,0x2b,0xe4,0xbe]
+0x02,0x2b,0xe4,0xbe
+
+# CHECK: s_movrels_b64 flat_scratch, s[2:3]    ; encoding: [0x02,0x2b,0xe6,0xbe]
+0x02,0x2b,0xe6,0xbe
+
+# CHECK: s_movrels_b64 vcc, s[2:3]    ; encoding: [0x02,0x2b,0xea,0xbe]
+0x02,0x2b,0xea,0xbe
+
+# CHECK: s_movrels_b64 exec, s[2:3]    ; encoding: [0x02,0x2b,0xfe,0xbe]
+0x02,0x2b,0xfe,0xbe
+
+# CHECK: s_movrels_b64 s[10:11], s[4:5]    ; encoding: [0x04,0x2b,0x8a,0xbe]
+0x04,0x2b,0x8a,0xbe
+
+# CHECK: s_movrels_b64 s[10:11], s[100:101]    ; encoding: [0x64,0x2b,0x8a,0xbe]
+0x64,0x2b,0x8a,0xbe
+
+# CHECK: s_movrels_b64 s[10:11], flat_scratch    ; encoding: [0x66,0x2b,0x8a,0xbe]
+0x66,0x2b,0x8a,0xbe
+
+# CHECK: s_movrels_b64 s[10:11], vcc    ; encoding: [0x6a,0x2b,0x8a,0xbe]
+0x6a,0x2b,0x8a,0xbe
+
+# CHECK: s_movreld_b32 s5, s1    ; encoding: [0x01,0x2c,0x85,0xbe]
+0x01,0x2c,0x85,0xbe
+
+# CHECK: s_movreld_b32 s101, s1    ; encoding: [0x01,0x2c,0xe5,0xbe]
+0x01,0x2c,0xe5,0xbe
+
+# CHECK: s_movreld_b32 flat_scratch_lo, s1    ; encoding: [0x01,0x2c,0xe6,0xbe]
+0x01,0x2c,0xe6,0xbe
+
+# CHECK: s_movreld_b32 flat_scratch_hi, s1    ; encoding: [0x01,0x2c,0xe7,0xbe]
+0x01,0x2c,0xe7,0xbe
+
+# CHECK: s_movreld_b32 vcc_lo, s1    ; encoding: [0x01,0x2c,0xea,0xbe]
+0x01,0x2c,0xea,0xbe
+
+# CHECK: s_movreld_b32 vcc_hi, s1    ; encoding: [0x01,0x2c,0xeb,0xbe]
+0x01,0x2c,0xeb,0xbe
+
+# CHECK: s_movreld_b32 s5, s101    ; encoding: [0x65,0x2c,0x85,0xbe]
+0x65,0x2c,0x85,0xbe
+
+# CHECK: s_movreld_b32 s5, flat_scratch_lo    ; encoding: [0x66,0x2c,0x85,0xbe]
+0x66,0x2c,0x85,0xbe
+
+# CHECK: s_movreld_b32 s5, flat_scratch_hi    ; encoding: [0x67,0x2c,0x85,0xbe]
+0x67,0x2c,0x85,0xbe
+
+# CHECK: s_movreld_b32 s5, vcc_lo    ; encoding: [0x6a,0x2c,0x85,0xbe]
+0x6a,0x2c,0x85,0xbe
+
+# CHECK: s_movreld_b32 s5, vcc_hi    ; encoding: [0x6b,0x2c,0x85,0xbe]
+0x6b,0x2c,0x85,0xbe
+
+# CHECK: s_movreld_b32 s5, m0    ; encoding: [0x7c,0x2c,0x85,0xbe]
+0x7c,0x2c,0x85,0xbe
+
+# CHECK: s_movreld_b32 s5, 0    ; encoding: [0x80,0x2c,0x85,0xbe]
+0x80,0x2c,0x85,0xbe
+
+# CHECK: s_movreld_b32 s5, -1    ; encoding: [0xc1,0x2c,0x85,0xbe]
+0xc1,0x2c,0x85,0xbe
+
+# CHECK: s_movreld_b32 s5, 0.5    ; encoding: [0xf0,0x2c,0x85,0xbe]
+0xf0,0x2c,0x85,0xbe
+
+# CHECK: s_movreld_b32 s5, -4.0    ; encoding: [0xf7,0x2c,0x85,0xbe]
+0xf7,0x2c,0x85,0xbe
+
+# CHECK: s_movreld_b32 s5, 0xaf123456    ; encoding: [0xff,0x2c,0x85,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x2c,0x85,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_movreld_b32 s5, 0x3f717273    ; encoding: [0xff,0x2c,0x85,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x2c,0x85,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_movreld_b64 s[10:11], s[2:3]    ; encoding: [0x02,0x2d,0x8a,0xbe]
+0x02,0x2d,0x8a,0xbe
+
+# CHECK: s_movreld_b64 s[12:13], s[2:3]    ; encoding: [0x02,0x2d,0x8c,0xbe]
+0x02,0x2d,0x8c,0xbe
+
+# CHECK: s_movreld_b64 s[100:101], s[2:3]    ; encoding: [0x02,0x2d,0xe4,0xbe]
+0x02,0x2d,0xe4,0xbe
+
+# CHECK: s_movreld_b64 flat_scratch, s[2:3]    ; encoding: [0x02,0x2d,0xe6,0xbe]
+0x02,0x2d,0xe6,0xbe
+
+# CHECK: s_movreld_b64 vcc, s[2:3]    ; encoding: [0x02,0x2d,0xea,0xbe]
+0x02,0x2d,0xea,0xbe
+
+# CHECK: s_movreld_b64 s[10:11], s[4:5]    ; encoding: [0x04,0x2d,0x8a,0xbe]
+0x04,0x2d,0x8a,0xbe
+
+# CHECK: s_movreld_b64 s[10:11], s[100:101]    ; encoding: [0x64,0x2d,0x8a,0xbe]
+0x64,0x2d,0x8a,0xbe
+
+# CHECK: s_movreld_b64 s[10:11], flat_scratch    ; encoding: [0x66,0x2d,0x8a,0xbe]
+0x66,0x2d,0x8a,0xbe
+
+# CHECK: s_movreld_b64 s[10:11], vcc    ; encoding: [0x6a,0x2d,0x8a,0xbe]
+0x6a,0x2d,0x8a,0xbe
+
+# CHECK: s_movreld_b64 s[10:11], 0    ; encoding: [0x80,0x2d,0x8a,0xbe]
+0x80,0x2d,0x8a,0xbe
+
+# CHECK: s_movreld_b64 s[10:11], -1    ; encoding: [0xc1,0x2d,0x8a,0xbe]
+0xc1,0x2d,0x8a,0xbe
+
+# CHECK: s_movreld_b64 s[10:11], 0.5    ; encoding: [0xf0,0x2d,0x8a,0xbe]
+0xf0,0x2d,0x8a,0xbe
+
+# CHECK: s_movreld_b64 s[10:11], -4.0    ; encoding: [0xf7,0x2d,0x8a,0xbe]
+0xf7,0x2d,0x8a,0xbe
+
+# CHECK: s_movreld_b64 s[10:11], 0xaf123456    ; encoding: [0xff,0x2d,0x8a,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x2d,0x8a,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_movreld_b64 s[10:11], 0x3f717273    ; encoding: [0xff,0x2d,0x8a,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x2d,0x8a,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cbranch_join s1    ; encoding: [0x01,0x2e,0x80,0xbe]
+0x01,0x2e,0x80,0xbe
+
+# CHECK: s_cbranch_join s101    ; encoding: [0x65,0x2e,0x80,0xbe]
+0x65,0x2e,0x80,0xbe
+
+# CHECK: s_cbranch_join flat_scratch_lo    ; encoding: [0x66,0x2e,0x80,0xbe]
+0x66,0x2e,0x80,0xbe
+
+# CHECK: s_cbranch_join flat_scratch_hi    ; encoding: [0x67,0x2e,0x80,0xbe]
+0x67,0x2e,0x80,0xbe
+
+# CHECK: s_cbranch_join vcc_lo    ; encoding: [0x6a,0x2e,0x80,0xbe]
+0x6a,0x2e,0x80,0xbe
+
+# CHECK: s_cbranch_join vcc_hi    ; encoding: [0x6b,0x2e,0x80,0xbe]
+0x6b,0x2e,0x80,0xbe
+
+# CHECK: s_abs_i32 s5, s1    ; encoding: [0x01,0x30,0x85,0xbe]
+0x01,0x30,0x85,0xbe
+
+# CHECK: s_abs_i32 s101, s1    ; encoding: [0x01,0x30,0xe5,0xbe]
+0x01,0x30,0xe5,0xbe
+
+# CHECK: s_abs_i32 flat_scratch_lo, s1    ; encoding: [0x01,0x30,0xe6,0xbe]
+0x01,0x30,0xe6,0xbe
+
+# CHECK: s_abs_i32 flat_scratch_hi, s1    ; encoding: [0x01,0x30,0xe7,0xbe]
+0x01,0x30,0xe7,0xbe
+
+# CHECK: s_abs_i32 vcc_lo, s1    ; encoding: [0x01,0x30,0xea,0xbe]
+0x01,0x30,0xea,0xbe
+
+# CHECK: s_abs_i32 vcc_hi, s1    ; encoding: [0x01,0x30,0xeb,0xbe]
+0x01,0x30,0xeb,0xbe
+
+# CHECK: s_abs_i32 m0, s1    ; encoding: [0x01,0x30,0xfc,0xbe]
+0x01,0x30,0xfc,0xbe
+
+# CHECK: s_abs_i32 exec_lo, s1    ; encoding: [0x01,0x30,0xfe,0xbe]
+0x01,0x30,0xfe,0xbe
+
+# CHECK: s_abs_i32 exec_hi, s1    ; encoding: [0x01,0x30,0xff,0xbe]
+0x01,0x30,0xff,0xbe
+
+# CHECK: s_abs_i32 s5, s101    ; encoding: [0x65,0x30,0x85,0xbe]
+0x65,0x30,0x85,0xbe
+
+# CHECK: s_abs_i32 s5, flat_scratch_lo    ; encoding: [0x66,0x30,0x85,0xbe]
+0x66,0x30,0x85,0xbe
+
+# CHECK: s_abs_i32 s5, flat_scratch_hi    ; encoding: [0x67,0x30,0x85,0xbe]
+0x67,0x30,0x85,0xbe
+
+# CHECK: s_abs_i32 s5, vcc_lo    ; encoding: [0x6a,0x30,0x85,0xbe]
+0x6a,0x30,0x85,0xbe
+
+# CHECK: s_abs_i32 s5, vcc_hi    ; encoding: [0x6b,0x30,0x85,0xbe]
+0x6b,0x30,0x85,0xbe
+
+# CHECK: s_abs_i32 s5, m0    ; encoding: [0x7c,0x30,0x85,0xbe]
+0x7c,0x30,0x85,0xbe
+
+# CHECK: s_abs_i32 s5, exec_lo    ; encoding: [0x7e,0x30,0x85,0xbe]
+0x7e,0x30,0x85,0xbe
+
+# CHECK: s_abs_i32 s5, exec_hi    ; encoding: [0x7f,0x30,0x85,0xbe]
+0x7f,0x30,0x85,0xbe
+
+# CHECK: s_abs_i32 s5, 0    ; encoding: [0x80,0x30,0x85,0xbe]
+0x80,0x30,0x85,0xbe
+
+# CHECK: s_abs_i32 s5, -1    ; encoding: [0xc1,0x30,0x85,0xbe]
+0xc1,0x30,0x85,0xbe
+
+# CHECK: s_abs_i32 s5, 0.5    ; encoding: [0xf0,0x30,0x85,0xbe]
+0xf0,0x30,0x85,0xbe
+
+# CHECK: s_abs_i32 s5, -4.0    ; encoding: [0xf7,0x30,0x85,0xbe]
+0xf7,0x30,0x85,0xbe
+
+# CHECK: s_abs_i32 s5, 0xaf123456    ; encoding: [0xff,0x30,0x85,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x30,0x85,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_abs_i32 s5, 0x3f717273    ; encoding: [0xff,0x30,0x85,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x30,0x85,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_mov_fed_b32 s5, s1    ; encoding: [0x01,0x31,0x85,0xbe]
+0x01,0x31,0x85,0xbe
+
+# CHECK: s_mov_fed_b32 s101, s1    ; encoding: [0x01,0x31,0xe5,0xbe]
+0x01,0x31,0xe5,0xbe
+
+# CHECK: s_mov_fed_b32 flat_scratch_lo, s1    ; encoding: [0x01,0x31,0xe6,0xbe]
+0x01,0x31,0xe6,0xbe
+
+# CHECK: s_mov_fed_b32 flat_scratch_hi, s1    ; encoding: [0x01,0x31,0xe7,0xbe]
+0x01,0x31,0xe7,0xbe
+
+# CHECK: s_mov_fed_b32 vcc_lo, s1    ; encoding: [0x01,0x31,0xea,0xbe]
+0x01,0x31,0xea,0xbe
+
+# CHECK: s_mov_fed_b32 vcc_hi, s1    ; encoding: [0x01,0x31,0xeb,0xbe]
+0x01,0x31,0xeb,0xbe
+
+# CHECK: s_mov_fed_b32 m0, s1    ; encoding: [0x01,0x31,0xfc,0xbe]
+0x01,0x31,0xfc,0xbe
+
+# CHECK: s_mov_fed_b32 exec_lo, s1    ; encoding: [0x01,0x31,0xfe,0xbe]
+0x01,0x31,0xfe,0xbe
+
+# CHECK: s_mov_fed_b32 exec_hi, s1    ; encoding: [0x01,0x31,0xff,0xbe]
+0x01,0x31,0xff,0xbe
+
+# CHECK: s_mov_fed_b32 s5, s101    ; encoding: [0x65,0x31,0x85,0xbe]
+0x65,0x31,0x85,0xbe
+
+# CHECK: s_mov_fed_b32 s5, flat_scratch_lo    ; encoding: [0x66,0x31,0x85,0xbe]
+0x66,0x31,0x85,0xbe
+
+# CHECK: s_mov_fed_b32 s5, flat_scratch_hi    ; encoding: [0x67,0x31,0x85,0xbe]
+0x67,0x31,0x85,0xbe
+
+# CHECK: s_mov_fed_b32 s5, vcc_lo    ; encoding: [0x6a,0x31,0x85,0xbe]
+0x6a,0x31,0x85,0xbe
+
+# CHECK: s_mov_fed_b32 s5, vcc_hi    ; encoding: [0x6b,0x31,0x85,0xbe]
+0x6b,0x31,0x85,0xbe
+
+# CHECK: s_mov_fed_b32 s5, m0    ; encoding: [0x7c,0x31,0x85,0xbe]
+0x7c,0x31,0x85,0xbe
+
+# CHECK: s_mov_fed_b32 s5, exec_lo    ; encoding: [0x7e,0x31,0x85,0xbe]
+0x7e,0x31,0x85,0xbe
+
+# CHECK: s_mov_fed_b32 s5, exec_hi    ; encoding: [0x7f,0x31,0x85,0xbe]
+0x7f,0x31,0x85,0xbe
+
+# CHECK: s_mov_fed_b32 s5, 0    ; encoding: [0x80,0x31,0x85,0xbe]
+0x80,0x31,0x85,0xbe
+
+# CHECK: s_mov_fed_b32 s5, -1    ; encoding: [0xc1,0x31,0x85,0xbe]
+0xc1,0x31,0x85,0xbe
+
+# CHECK: s_mov_fed_b32 s5, 0.5    ; encoding: [0xf0,0x31,0x85,0xbe]
+0xf0,0x31,0x85,0xbe
+
+# CHECK: s_mov_fed_b32 s5, -4.0    ; encoding: [0xf7,0x31,0x85,0xbe]
+0xf7,0x31,0x85,0xbe
+
+# CHECK: s_mov_fed_b32 s5, 0xaf123456    ; encoding: [0xff,0x31,0x85,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x31,0x85,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_mov_fed_b32 s5, 0x3f717273    ; encoding: [0xff,0x31,0x85,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x31,0x85,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_set_gpr_idx_idx s1    ; encoding: [0x01,0x32,0x80,0xbe]
+0x01,0x32,0x80,0xbe
+
+# CHECK: s_set_gpr_idx_idx s101    ; encoding: [0x65,0x32,0x80,0xbe]
+0x65,0x32,0x80,0xbe
+
+# CHECK: s_set_gpr_idx_idx flat_scratch_lo    ; encoding: [0x66,0x32,0x80,0xbe]
+0x66,0x32,0x80,0xbe
+
+# CHECK: s_set_gpr_idx_idx flat_scratch_hi    ; encoding: [0x67,0x32,0x80,0xbe]
+0x67,0x32,0x80,0xbe
+
+# CHECK: s_set_gpr_idx_idx vcc_lo    ; encoding: [0x6a,0x32,0x80,0xbe]
+0x6a,0x32,0x80,0xbe
+
+# CHECK: s_set_gpr_idx_idx vcc_hi    ; encoding: [0x6b,0x32,0x80,0xbe]
+0x6b,0x32,0x80,0xbe
+
+# CHECK: s_set_gpr_idx_idx m0    ; encoding: [0x7c,0x32,0x80,0xbe]
+0x7c,0x32,0x80,0xbe
+
+# CHECK: s_set_gpr_idx_idx 0    ; encoding: [0x80,0x32,0x80,0xbe]
+0x80,0x32,0x80,0xbe
+
+# CHECK: s_set_gpr_idx_idx -1    ; encoding: [0xc1,0x32,0x80,0xbe]
+0xc1,0x32,0x80,0xbe
+
+# CHECK: s_set_gpr_idx_idx 0.5    ; encoding: [0xf0,0x32,0x80,0xbe]
+0xf0,0x32,0x80,0xbe
+
+# CHECK: s_set_gpr_idx_idx -4.0    ; encoding: [0xf7,0x32,0x80,0xbe]
+0xf7,0x32,0x80,0xbe
+
+# CHECK: s_set_gpr_idx_idx 0xaf123456    ; encoding: [0xff,0x32,0x80,0xbe,0x56,0x34,0x12,0xaf]
+0xff,0x32,0x80,0xbe,0x56,0x34,0x12,0xaf
+
+# CHECK: s_set_gpr_idx_idx 0x3f717273    ; encoding: [0xff,0x32,0x80,0xbe,0x73,0x72,0x71,0x3f]
+0xff,0x32,0x80,0xbe,0x73,0x72,0x71,0x3f
+
+# CHECK: s_add_u32 s5, s1, s2    ; encoding: [0x01,0x02,0x05,0x80]
+0x01,0x02,0x05,0x80
+
+# CHECK: s_add_u32 s101, s1, s2    ; encoding: [0x01,0x02,0x65,0x80]
+0x01,0x02,0x65,0x80
+
+# CHECK: s_add_u32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0x66,0x80]
+0x01,0x02,0x66,0x80
+
+# CHECK: s_add_u32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0x67,0x80]
+0x01,0x02,0x67,0x80
+
+# CHECK: s_add_u32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0x6a,0x80]
+0x01,0x02,0x6a,0x80
+
+# CHECK: s_add_u32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0x6b,0x80]
+0x01,0x02,0x6b,0x80
+
+# CHECK: s_add_u32 m0, s1, s2    ; encoding: [0x01,0x02,0x7c,0x80]
+0x01,0x02,0x7c,0x80
+
+# CHECK: s_add_u32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0x7e,0x80]
+0x01,0x02,0x7e,0x80
+
+# CHECK: s_add_u32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0x7f,0x80]
+0x01,0x02,0x7f,0x80
+
+# CHECK: s_add_u32 s5, s101, s2    ; encoding: [0x65,0x02,0x05,0x80]
+0x65,0x02,0x05,0x80
+
+# CHECK: s_add_u32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x05,0x80]
+0x66,0x02,0x05,0x80
+
+# CHECK: s_add_u32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x05,0x80]
+0x67,0x02,0x05,0x80
+
+# CHECK: s_add_u32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x05,0x80]
+0x6a,0x02,0x05,0x80
+
+# CHECK: s_add_u32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x05,0x80]
+0x6b,0x02,0x05,0x80
+
+# CHECK: s_add_u32 s5, m0, s2    ; encoding: [0x7c,0x02,0x05,0x80]
+0x7c,0x02,0x05,0x80
+
+# CHECK: s_add_u32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x05,0x80]
+0x7e,0x02,0x05,0x80
+
+# CHECK: s_add_u32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x05,0x80]
+0x7f,0x02,0x05,0x80
+
+# CHECK: s_add_u32 s5, 0, s2    ; encoding: [0x80,0x02,0x05,0x80]
+0x80,0x02,0x05,0x80
+
+# CHECK: s_add_u32 s5, -1, s2    ; encoding: [0xc1,0x02,0x05,0x80]
+0xc1,0x02,0x05,0x80
+
+# CHECK: s_add_u32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x05,0x80]
+0xf0,0x02,0x05,0x80
+
+# CHECK: s_add_u32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x05,0x80]
+0xf7,0x02,0x05,0x80
+
+# CHECK: s_add_u32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x05,0x80,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x05,0x80,0x56,0x34,0x12,0xaf
+
+# CHECK: s_add_u32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x05,0x80,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x05,0x80,0x73,0x72,0x71,0x3f
+
+# CHECK: s_add_u32 s5, s1, s101    ; encoding: [0x01,0x65,0x05,0x80]
+0x01,0x65,0x05,0x80
+
+# CHECK: s_add_u32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x05,0x80]
+0x01,0x66,0x05,0x80
+
+# CHECK: s_add_u32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x05,0x80]
+0x01,0x67,0x05,0x80
+
+# CHECK: s_add_u32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x05,0x80]
+0x01,0x6a,0x05,0x80
+
+# CHECK: s_add_u32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x05,0x80]
+0x01,0x6b,0x05,0x80
+
+# CHECK: s_add_u32 s5, s1, m0    ; encoding: [0x01,0x7c,0x05,0x80]
+0x01,0x7c,0x05,0x80
+
+# CHECK: s_add_u32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x05,0x80]
+0x01,0x7e,0x05,0x80
+
+# CHECK: s_add_u32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x05,0x80]
+0x01,0x7f,0x05,0x80
+
+# CHECK: s_add_u32 s5, s1, 0    ; encoding: [0x01,0x80,0x05,0x80]
+0x01,0x80,0x05,0x80
+
+# CHECK: s_add_u32 s5, s1, -1    ; encoding: [0x01,0xc1,0x05,0x80]
+0x01,0xc1,0x05,0x80
+
+# CHECK: s_add_u32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x05,0x80]
+0x01,0xf0,0x05,0x80
+
+# CHECK: s_add_u32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x05,0x80]
+0x01,0xf7,0x05,0x80
+
+# CHECK: s_add_u32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x05,0x80,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x05,0x80,0x56,0x34,0x12,0xaf
+
+# CHECK: s_add_u32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x05,0x80,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x05,0x80,0x73,0x72,0x71,0x3f
+
+# CHECK: s_sub_u32 s5, s1, s2    ; encoding: [0x01,0x02,0x85,0x80]
+0x01,0x02,0x85,0x80
+
+# CHECK: s_sub_u32 s101, s1, s2    ; encoding: [0x01,0x02,0xe5,0x80]
+0x01,0x02,0xe5,0x80
+
+# CHECK: s_sub_u32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0xe6,0x80]
+0x01,0x02,0xe6,0x80
+
+# CHECK: s_sub_u32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0xe7,0x80]
+0x01,0x02,0xe7,0x80
+
+# CHECK: s_sub_u32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0xea,0x80]
+0x01,0x02,0xea,0x80
+
+# CHECK: s_sub_u32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0xeb,0x80]
+0x01,0x02,0xeb,0x80
+
+# CHECK: s_sub_u32 m0, s1, s2    ; encoding: [0x01,0x02,0xfc,0x80]
+0x01,0x02,0xfc,0x80
+
+# CHECK: s_sub_u32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0xfe,0x80]
+0x01,0x02,0xfe,0x80
+
+# CHECK: s_sub_u32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0xff,0x80]
+0x01,0x02,0xff,0x80
+
+# CHECK: s_sub_u32 s5, s101, s2    ; encoding: [0x65,0x02,0x85,0x80]
+0x65,0x02,0x85,0x80
+
+# CHECK: s_sub_u32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x85,0x80]
+0x66,0x02,0x85,0x80
+
+# CHECK: s_sub_u32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x85,0x80]
+0x67,0x02,0x85,0x80
+
+# CHECK: s_sub_u32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x85,0x80]
+0x6a,0x02,0x85,0x80
+
+# CHECK: s_sub_u32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x85,0x80]
+0x6b,0x02,0x85,0x80
+
+# CHECK: s_sub_u32 s5, m0, s2    ; encoding: [0x7c,0x02,0x85,0x80]
+0x7c,0x02,0x85,0x80
+
+# CHECK: s_sub_u32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x85,0x80]
+0x7e,0x02,0x85,0x80
+
+# CHECK: s_sub_u32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x85,0x80]
+0x7f,0x02,0x85,0x80
+
+# CHECK: s_sub_u32 s5, 0, s2    ; encoding: [0x80,0x02,0x85,0x80]
+0x80,0x02,0x85,0x80
+
+# CHECK: s_sub_u32 s5, -1, s2    ; encoding: [0xc1,0x02,0x85,0x80]
+0xc1,0x02,0x85,0x80
+
+# CHECK: s_sub_u32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x85,0x80]
+0xf0,0x02,0x85,0x80
+
+# CHECK: s_sub_u32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x85,0x80]
+0xf7,0x02,0x85,0x80
+
+# CHECK: s_sub_u32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x85,0x80,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x85,0x80,0x56,0x34,0x12,0xaf
+
+# CHECK: s_sub_u32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x85,0x80,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x85,0x80,0x73,0x72,0x71,0x3f
+
+# CHECK: s_sub_u32 s5, s1, s101    ; encoding: [0x01,0x65,0x85,0x80]
+0x01,0x65,0x85,0x80
+
+# CHECK: s_sub_u32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x85,0x80]
+0x01,0x66,0x85,0x80
+
+# CHECK: s_sub_u32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x85,0x80]
+0x01,0x67,0x85,0x80
+
+# CHECK: s_sub_u32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x85,0x80]
+0x01,0x6a,0x85,0x80
+
+# CHECK: s_sub_u32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x85,0x80]
+0x01,0x6b,0x85,0x80
+
+# CHECK: s_sub_u32 s5, s1, m0    ; encoding: [0x01,0x7c,0x85,0x80]
+0x01,0x7c,0x85,0x80
+
+# CHECK: s_sub_u32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x85,0x80]
+0x01,0x7e,0x85,0x80
+
+# CHECK: s_sub_u32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x85,0x80]
+0x01,0x7f,0x85,0x80
+
+# CHECK: s_sub_u32 s5, s1, 0    ; encoding: [0x01,0x80,0x85,0x80]
+0x01,0x80,0x85,0x80
+
+# CHECK: s_sub_u32 s5, s1, -1    ; encoding: [0x01,0xc1,0x85,0x80]
+0x01,0xc1,0x85,0x80
+
+# CHECK: s_sub_u32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x85,0x80]
+0x01,0xf0,0x85,0x80
+
+# CHECK: s_sub_u32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x85,0x80]
+0x01,0xf7,0x85,0x80
+
+# CHECK: s_sub_u32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x85,0x80,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x85,0x80,0x56,0x34,0x12,0xaf
+
+# CHECK: s_sub_u32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x85,0x80,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x85,0x80,0x73,0x72,0x71,0x3f
+
+# CHECK: s_add_i32 s5, s1, s2    ; encoding: [0x01,0x02,0x05,0x81]
+0x01,0x02,0x05,0x81
+
+# CHECK: s_add_i32 s101, s1, s2    ; encoding: [0x01,0x02,0x65,0x81]
+0x01,0x02,0x65,0x81
+
+# CHECK: s_add_i32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0x66,0x81]
+0x01,0x02,0x66,0x81
+
+# CHECK: s_add_i32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0x67,0x81]
+0x01,0x02,0x67,0x81
+
+# CHECK: s_add_i32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0x6a,0x81]
+0x01,0x02,0x6a,0x81
+
+# CHECK: s_add_i32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0x6b,0x81]
+0x01,0x02,0x6b,0x81
+
+# CHECK: s_add_i32 m0, s1, s2    ; encoding: [0x01,0x02,0x7c,0x81]
+0x01,0x02,0x7c,0x81
+
+# CHECK: s_add_i32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0x7e,0x81]
+0x01,0x02,0x7e,0x81
+
+# CHECK: s_add_i32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0x7f,0x81]
+0x01,0x02,0x7f,0x81
+
+# CHECK: s_add_i32 s5, s101, s2    ; encoding: [0x65,0x02,0x05,0x81]
+0x65,0x02,0x05,0x81
+
+# CHECK: s_add_i32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x05,0x81]
+0x66,0x02,0x05,0x81
+
+# CHECK: s_add_i32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x05,0x81]
+0x67,0x02,0x05,0x81
+
+# CHECK: s_add_i32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x05,0x81]
+0x6a,0x02,0x05,0x81
+
+# CHECK: s_add_i32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x05,0x81]
+0x6b,0x02,0x05,0x81
+
+# CHECK: s_add_i32 s5, m0, s2    ; encoding: [0x7c,0x02,0x05,0x81]
+0x7c,0x02,0x05,0x81
+
+# CHECK: s_add_i32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x05,0x81]
+0x7e,0x02,0x05,0x81
+
+# CHECK: s_add_i32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x05,0x81]
+0x7f,0x02,0x05,0x81
+
+# CHECK: s_add_i32 s5, 0, s2    ; encoding: [0x80,0x02,0x05,0x81]
+0x80,0x02,0x05,0x81
+
+# CHECK: s_add_i32 s5, -1, s2    ; encoding: [0xc1,0x02,0x05,0x81]
+0xc1,0x02,0x05,0x81
+
+# CHECK: s_add_i32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x05,0x81]
+0xf0,0x02,0x05,0x81
+
+# CHECK: s_add_i32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x05,0x81]
+0xf7,0x02,0x05,0x81
+
+# CHECK: s_add_i32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x05,0x81,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x05,0x81,0x56,0x34,0x12,0xaf
+
+# CHECK: s_add_i32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x05,0x81,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x05,0x81,0x73,0x72,0x71,0x3f
+
+# CHECK: s_add_i32 s5, s1, s101    ; encoding: [0x01,0x65,0x05,0x81]
+0x01,0x65,0x05,0x81
+
+# CHECK: s_add_i32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x05,0x81]
+0x01,0x66,0x05,0x81
+
+# CHECK: s_add_i32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x05,0x81]
+0x01,0x67,0x05,0x81
+
+# CHECK: s_add_i32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x05,0x81]
+0x01,0x6a,0x05,0x81
+
+# CHECK: s_add_i32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x05,0x81]
+0x01,0x6b,0x05,0x81
+
+# CHECK: s_add_i32 s5, s1, m0    ; encoding: [0x01,0x7c,0x05,0x81]
+0x01,0x7c,0x05,0x81
+
+# CHECK: s_add_i32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x05,0x81]
+0x01,0x7e,0x05,0x81
+
+# CHECK: s_add_i32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x05,0x81]
+0x01,0x7f,0x05,0x81
+
+# CHECK: s_add_i32 s5, s1, 0    ; encoding: [0x01,0x80,0x05,0x81]
+0x01,0x80,0x05,0x81
+
+# CHECK: s_add_i32 s5, s1, -1    ; encoding: [0x01,0xc1,0x05,0x81]
+0x01,0xc1,0x05,0x81
+
+# CHECK: s_add_i32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x05,0x81]
+0x01,0xf0,0x05,0x81
+
+# CHECK: s_add_i32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x05,0x81]
+0x01,0xf7,0x05,0x81
+
+# CHECK: s_add_i32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x05,0x81,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x05,0x81,0x56,0x34,0x12,0xaf
+
+# CHECK: s_add_i32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x05,0x81,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x05,0x81,0x73,0x72,0x71,0x3f
+
+# CHECK: s_sub_i32 s5, s1, s2    ; encoding: [0x01,0x02,0x85,0x81]
+0x01,0x02,0x85,0x81
+
+# CHECK: s_sub_i32 s101, s1, s2    ; encoding: [0x01,0x02,0xe5,0x81]
+0x01,0x02,0xe5,0x81
+
+# CHECK: s_sub_i32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0xe6,0x81]
+0x01,0x02,0xe6,0x81
+
+# CHECK: s_sub_i32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0xe7,0x81]
+0x01,0x02,0xe7,0x81
+
+# CHECK: s_sub_i32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0xea,0x81]
+0x01,0x02,0xea,0x81
+
+# CHECK: s_sub_i32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0xeb,0x81]
+0x01,0x02,0xeb,0x81
+
+# CHECK: s_sub_i32 m0, s1, s2    ; encoding: [0x01,0x02,0xfc,0x81]
+0x01,0x02,0xfc,0x81
+
+# CHECK: s_sub_i32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0xfe,0x81]
+0x01,0x02,0xfe,0x81
+
+# CHECK: s_sub_i32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0xff,0x81]
+0x01,0x02,0xff,0x81
+
+# CHECK: s_sub_i32 s5, s101, s2    ; encoding: [0x65,0x02,0x85,0x81]
+0x65,0x02,0x85,0x81
+
+# CHECK: s_sub_i32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x85,0x81]
+0x66,0x02,0x85,0x81
+
+# CHECK: s_sub_i32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x85,0x81]
+0x67,0x02,0x85,0x81
+
+# CHECK: s_sub_i32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x85,0x81]
+0x6a,0x02,0x85,0x81
+
+# CHECK: s_sub_i32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x85,0x81]
+0x6b,0x02,0x85,0x81
+
+# CHECK: s_sub_i32 s5, m0, s2    ; encoding: [0x7c,0x02,0x85,0x81]
+0x7c,0x02,0x85,0x81
+
+# CHECK: s_sub_i32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x85,0x81]
+0x7e,0x02,0x85,0x81
+
+# CHECK: s_sub_i32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x85,0x81]
+0x7f,0x02,0x85,0x81
+
+# CHECK: s_sub_i32 s5, 0, s2    ; encoding: [0x80,0x02,0x85,0x81]
+0x80,0x02,0x85,0x81
+
+# CHECK: s_sub_i32 s5, -1, s2    ; encoding: [0xc1,0x02,0x85,0x81]
+0xc1,0x02,0x85,0x81
+
+# CHECK: s_sub_i32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x85,0x81]
+0xf0,0x02,0x85,0x81
+
+# CHECK: s_sub_i32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x85,0x81]
+0xf7,0x02,0x85,0x81
+
+# CHECK: s_sub_i32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x85,0x81,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x85,0x81,0x56,0x34,0x12,0xaf
+
+# CHECK: s_sub_i32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x85,0x81,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x85,0x81,0x73,0x72,0x71,0x3f
+
+# CHECK: s_sub_i32 s5, s1, s101    ; encoding: [0x01,0x65,0x85,0x81]
+0x01,0x65,0x85,0x81
+
+# CHECK: s_sub_i32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x85,0x81]
+0x01,0x66,0x85,0x81
+
+# CHECK: s_sub_i32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x85,0x81]
+0x01,0x67,0x85,0x81
+
+# CHECK: s_sub_i32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x85,0x81]
+0x01,0x6a,0x85,0x81
+
+# CHECK: s_sub_i32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x85,0x81]
+0x01,0x6b,0x85,0x81
+
+# CHECK: s_sub_i32 s5, s1, m0    ; encoding: [0x01,0x7c,0x85,0x81]
+0x01,0x7c,0x85,0x81
+
+# CHECK: s_sub_i32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x85,0x81]
+0x01,0x7e,0x85,0x81
+
+# CHECK: s_sub_i32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x85,0x81]
+0x01,0x7f,0x85,0x81
+
+# CHECK: s_sub_i32 s5, s1, 0    ; encoding: [0x01,0x80,0x85,0x81]
+0x01,0x80,0x85,0x81
+
+# CHECK: s_sub_i32 s5, s1, -1    ; encoding: [0x01,0xc1,0x85,0x81]
+0x01,0xc1,0x85,0x81
+
+# CHECK: s_sub_i32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x85,0x81]
+0x01,0xf0,0x85,0x81
+
+# CHECK: s_sub_i32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x85,0x81]
+0x01,0xf7,0x85,0x81
+
+# CHECK: s_sub_i32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x85,0x81,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x85,0x81,0x56,0x34,0x12,0xaf
+
+# CHECK: s_sub_i32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x85,0x81,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x85,0x81,0x73,0x72,0x71,0x3f
+
+# CHECK: s_addc_u32 s5, s1, s2    ; encoding: [0x01,0x02,0x05,0x82]
+0x01,0x02,0x05,0x82
+
+# CHECK: s_addc_u32 s101, s1, s2    ; encoding: [0x01,0x02,0x65,0x82]
+0x01,0x02,0x65,0x82
+
+# CHECK: s_addc_u32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0x66,0x82]
+0x01,0x02,0x66,0x82
+
+# CHECK: s_addc_u32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0x67,0x82]
+0x01,0x02,0x67,0x82
+
+# CHECK: s_addc_u32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0x6a,0x82]
+0x01,0x02,0x6a,0x82
+
+# CHECK: s_addc_u32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0x6b,0x82]
+0x01,0x02,0x6b,0x82
+
+# CHECK: s_addc_u32 m0, s1, s2    ; encoding: [0x01,0x02,0x7c,0x82]
+0x01,0x02,0x7c,0x82
+
+# CHECK: s_addc_u32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0x7e,0x82]
+0x01,0x02,0x7e,0x82
+
+# CHECK: s_addc_u32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0x7f,0x82]
+0x01,0x02,0x7f,0x82
+
+# CHECK: s_addc_u32 s5, s101, s2    ; encoding: [0x65,0x02,0x05,0x82]
+0x65,0x02,0x05,0x82
+
+# CHECK: s_addc_u32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x05,0x82]
+0x66,0x02,0x05,0x82
+
+# CHECK: s_addc_u32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x05,0x82]
+0x67,0x02,0x05,0x82
+
+# CHECK: s_addc_u32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x05,0x82]
+0x6a,0x02,0x05,0x82
+
+# CHECK: s_addc_u32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x05,0x82]
+0x6b,0x02,0x05,0x82
+
+# CHECK: s_addc_u32 s5, m0, s2    ; encoding: [0x7c,0x02,0x05,0x82]
+0x7c,0x02,0x05,0x82
+
+# CHECK: s_addc_u32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x05,0x82]
+0x7e,0x02,0x05,0x82
+
+# CHECK: s_addc_u32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x05,0x82]
+0x7f,0x02,0x05,0x82
+
+# CHECK: s_addc_u32 s5, 0, s2    ; encoding: [0x80,0x02,0x05,0x82]
+0x80,0x02,0x05,0x82
+
+# CHECK: s_addc_u32 s5, -1, s2    ; encoding: [0xc1,0x02,0x05,0x82]
+0xc1,0x02,0x05,0x82
+
+# CHECK: s_addc_u32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x05,0x82]
+0xf0,0x02,0x05,0x82
+
+# CHECK: s_addc_u32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x05,0x82]
+0xf7,0x02,0x05,0x82
+
+# CHECK: s_addc_u32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x05,0x82,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x05,0x82,0x56,0x34,0x12,0xaf
+
+# CHECK: s_addc_u32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x05,0x82,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x05,0x82,0x73,0x72,0x71,0x3f
+
+# CHECK: s_addc_u32 s5, s1, s101    ; encoding: [0x01,0x65,0x05,0x82]
+0x01,0x65,0x05,0x82
+
+# CHECK: s_addc_u32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x05,0x82]
+0x01,0x66,0x05,0x82
+
+# CHECK: s_addc_u32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x05,0x82]
+0x01,0x67,0x05,0x82
+
+# CHECK: s_addc_u32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x05,0x82]
+0x01,0x6a,0x05,0x82
+
+# CHECK: s_addc_u32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x05,0x82]
+0x01,0x6b,0x05,0x82
+
+# CHECK: s_addc_u32 s5, s1, m0    ; encoding: [0x01,0x7c,0x05,0x82]
+0x01,0x7c,0x05,0x82
+
+# CHECK: s_addc_u32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x05,0x82]
+0x01,0x7e,0x05,0x82
+
+# CHECK: s_addc_u32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x05,0x82]
+0x01,0x7f,0x05,0x82
+
+# CHECK: s_addc_u32 s5, s1, 0    ; encoding: [0x01,0x80,0x05,0x82]
+0x01,0x80,0x05,0x82
+
+# CHECK: s_addc_u32 s5, s1, -1    ; encoding: [0x01,0xc1,0x05,0x82]
+0x01,0xc1,0x05,0x82
+
+# CHECK: s_addc_u32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x05,0x82]
+0x01,0xf0,0x05,0x82
+
+# CHECK: s_addc_u32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x05,0x82]
+0x01,0xf7,0x05,0x82
+
+# CHECK: s_addc_u32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x05,0x82,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x05,0x82,0x56,0x34,0x12,0xaf
+
+# CHECK: s_addc_u32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x05,0x82,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x05,0x82,0x73,0x72,0x71,0x3f
+
+# CHECK: s_subb_u32 s5, s1, s2    ; encoding: [0x01,0x02,0x85,0x82]
+0x01,0x02,0x85,0x82
+
+# CHECK: s_subb_u32 s101, s1, s2    ; encoding: [0x01,0x02,0xe5,0x82]
+0x01,0x02,0xe5,0x82
+
+# CHECK: s_subb_u32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0xe6,0x82]
+0x01,0x02,0xe6,0x82
+
+# CHECK: s_subb_u32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0xe7,0x82]
+0x01,0x02,0xe7,0x82
+
+# CHECK: s_subb_u32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0xea,0x82]
+0x01,0x02,0xea,0x82
+
+# CHECK: s_subb_u32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0xeb,0x82]
+0x01,0x02,0xeb,0x82
+
+# CHECK: s_subb_u32 m0, s1, s2    ; encoding: [0x01,0x02,0xfc,0x82]
+0x01,0x02,0xfc,0x82
+
+# CHECK: s_subb_u32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0xfe,0x82]
+0x01,0x02,0xfe,0x82
+
+# CHECK: s_subb_u32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0xff,0x82]
+0x01,0x02,0xff,0x82
+
+# CHECK: s_subb_u32 s5, s101, s2    ; encoding: [0x65,0x02,0x85,0x82]
+0x65,0x02,0x85,0x82
+
+# CHECK: s_subb_u32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x85,0x82]
+0x66,0x02,0x85,0x82
+
+# CHECK: s_subb_u32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x85,0x82]
+0x67,0x02,0x85,0x82
+
+# CHECK: s_subb_u32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x85,0x82]
+0x6a,0x02,0x85,0x82
+
+# CHECK: s_subb_u32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x85,0x82]
+0x6b,0x02,0x85,0x82
+
+# CHECK: s_subb_u32 s5, m0, s2    ; encoding: [0x7c,0x02,0x85,0x82]
+0x7c,0x02,0x85,0x82
+
+# CHECK: s_subb_u32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x85,0x82]
+0x7e,0x02,0x85,0x82
+
+# CHECK: s_subb_u32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x85,0x82]
+0x7f,0x02,0x85,0x82
+
+# CHECK: s_subb_u32 s5, 0, s2    ; encoding: [0x80,0x02,0x85,0x82]
+0x80,0x02,0x85,0x82
+
+# CHECK: s_subb_u32 s5, -1, s2    ; encoding: [0xc1,0x02,0x85,0x82]
+0xc1,0x02,0x85,0x82
+
+# CHECK: s_subb_u32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x85,0x82]
+0xf0,0x02,0x85,0x82
+
+# CHECK: s_subb_u32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x85,0x82]
+0xf7,0x02,0x85,0x82
+
+# CHECK: s_subb_u32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x85,0x82,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x85,0x82,0x56,0x34,0x12,0xaf
+
+# CHECK: s_subb_u32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x85,0x82,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x85,0x82,0x73,0x72,0x71,0x3f
+
+# CHECK: s_subb_u32 s5, s1, s101    ; encoding: [0x01,0x65,0x85,0x82]
+0x01,0x65,0x85,0x82
+
+# CHECK: s_subb_u32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x85,0x82]
+0x01,0x66,0x85,0x82
+
+# CHECK: s_subb_u32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x85,0x82]
+0x01,0x67,0x85,0x82
+
+# CHECK: s_subb_u32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x85,0x82]
+0x01,0x6a,0x85,0x82
+
+# CHECK: s_subb_u32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x85,0x82]
+0x01,0x6b,0x85,0x82
+
+# CHECK: s_subb_u32 s5, s1, m0    ; encoding: [0x01,0x7c,0x85,0x82]
+0x01,0x7c,0x85,0x82
+
+# CHECK: s_subb_u32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x85,0x82]
+0x01,0x7e,0x85,0x82
+
+# CHECK: s_subb_u32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x85,0x82]
+0x01,0x7f,0x85,0x82
+
+# CHECK: s_subb_u32 s5, s1, 0    ; encoding: [0x01,0x80,0x85,0x82]
+0x01,0x80,0x85,0x82
+
+# CHECK: s_subb_u32 s5, s1, -1    ; encoding: [0x01,0xc1,0x85,0x82]
+0x01,0xc1,0x85,0x82
+
+# CHECK: s_subb_u32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x85,0x82]
+0x01,0xf0,0x85,0x82
+
+# CHECK: s_subb_u32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x85,0x82]
+0x01,0xf7,0x85,0x82
+
+# CHECK: s_subb_u32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x85,0x82,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x85,0x82,0x56,0x34,0x12,0xaf
+
+# CHECK: s_subb_u32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x85,0x82,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x85,0x82,0x73,0x72,0x71,0x3f
+
+# CHECK: s_min_i32 s5, s1, s2    ; encoding: [0x01,0x02,0x05,0x83]
+0x01,0x02,0x05,0x83
+
+# CHECK: s_min_i32 s101, s1, s2    ; encoding: [0x01,0x02,0x65,0x83]
+0x01,0x02,0x65,0x83
+
+# CHECK: s_min_i32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0x66,0x83]
+0x01,0x02,0x66,0x83
+
+# CHECK: s_min_i32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0x67,0x83]
+0x01,0x02,0x67,0x83
+
+# CHECK: s_min_i32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0x6a,0x83]
+0x01,0x02,0x6a,0x83
+
+# CHECK: s_min_i32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0x6b,0x83]
+0x01,0x02,0x6b,0x83
+
+# CHECK: s_min_i32 m0, s1, s2    ; encoding: [0x01,0x02,0x7c,0x83]
+0x01,0x02,0x7c,0x83
+
+# CHECK: s_min_i32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0x7e,0x83]
+0x01,0x02,0x7e,0x83
+
+# CHECK: s_min_i32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0x7f,0x83]
+0x01,0x02,0x7f,0x83
+
+# CHECK: s_min_i32 s5, s101, s2    ; encoding: [0x65,0x02,0x05,0x83]
+0x65,0x02,0x05,0x83
+
+# CHECK: s_min_i32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x05,0x83]
+0x66,0x02,0x05,0x83
+
+# CHECK: s_min_i32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x05,0x83]
+0x67,0x02,0x05,0x83
+
+# CHECK: s_min_i32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x05,0x83]
+0x6a,0x02,0x05,0x83
+
+# CHECK: s_min_i32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x05,0x83]
+0x6b,0x02,0x05,0x83
+
+# CHECK: s_min_i32 s5, m0, s2    ; encoding: [0x7c,0x02,0x05,0x83]
+0x7c,0x02,0x05,0x83
+
+# CHECK: s_min_i32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x05,0x83]
+0x7e,0x02,0x05,0x83
+
+# CHECK: s_min_i32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x05,0x83]
+0x7f,0x02,0x05,0x83
+
+# CHECK: s_min_i32 s5, 0, s2    ; encoding: [0x80,0x02,0x05,0x83]
+0x80,0x02,0x05,0x83
+
+# CHECK: s_min_i32 s5, -1, s2    ; encoding: [0xc1,0x02,0x05,0x83]
+0xc1,0x02,0x05,0x83
+
+# CHECK: s_min_i32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x05,0x83]
+0xf0,0x02,0x05,0x83
+
+# CHECK: s_min_i32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x05,0x83]
+0xf7,0x02,0x05,0x83
+
+# CHECK: s_min_i32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x05,0x83,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x05,0x83,0x56,0x34,0x12,0xaf
+
+# CHECK: s_min_i32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x05,0x83,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x05,0x83,0x73,0x72,0x71,0x3f
+
+# CHECK: s_min_i32 s5, s1, s101    ; encoding: [0x01,0x65,0x05,0x83]
+0x01,0x65,0x05,0x83
+
+# CHECK: s_min_i32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x05,0x83]
+0x01,0x66,0x05,0x83
+
+# CHECK: s_min_i32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x05,0x83]
+0x01,0x67,0x05,0x83
+
+# CHECK: s_min_i32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x05,0x83]
+0x01,0x6a,0x05,0x83
+
+# CHECK: s_min_i32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x05,0x83]
+0x01,0x6b,0x05,0x83
+
+# CHECK: s_min_i32 s5, s1, m0    ; encoding: [0x01,0x7c,0x05,0x83]
+0x01,0x7c,0x05,0x83
+
+# CHECK: s_min_i32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x05,0x83]
+0x01,0x7e,0x05,0x83
+
+# CHECK: s_min_i32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x05,0x83]
+0x01,0x7f,0x05,0x83
+
+# CHECK: s_min_i32 s5, s1, 0    ; encoding: [0x01,0x80,0x05,0x83]
+0x01,0x80,0x05,0x83
+
+# CHECK: s_min_i32 s5, s1, -1    ; encoding: [0x01,0xc1,0x05,0x83]
+0x01,0xc1,0x05,0x83
+
+# CHECK: s_min_i32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x05,0x83]
+0x01,0xf0,0x05,0x83
+
+# CHECK: s_min_i32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x05,0x83]
+0x01,0xf7,0x05,0x83
+
+# CHECK: s_min_i32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x05,0x83,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x05,0x83,0x56,0x34,0x12,0xaf
+
+# CHECK: s_min_i32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x05,0x83,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x05,0x83,0x73,0x72,0x71,0x3f
+
+# CHECK: s_min_u32 s5, s1, s2    ; encoding: [0x01,0x02,0x85,0x83]
+0x01,0x02,0x85,0x83
+
+# CHECK: s_min_u32 s101, s1, s2    ; encoding: [0x01,0x02,0xe5,0x83]
+0x01,0x02,0xe5,0x83
+
+# CHECK: s_min_u32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0xe6,0x83]
+0x01,0x02,0xe6,0x83
+
+# CHECK: s_min_u32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0xe7,0x83]
+0x01,0x02,0xe7,0x83
+
+# CHECK: s_min_u32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0xea,0x83]
+0x01,0x02,0xea,0x83
+
+# CHECK: s_min_u32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0xeb,0x83]
+0x01,0x02,0xeb,0x83
+
+# CHECK: s_min_u32 m0, s1, s2    ; encoding: [0x01,0x02,0xfc,0x83]
+0x01,0x02,0xfc,0x83
+
+# CHECK: s_min_u32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0xfe,0x83]
+0x01,0x02,0xfe,0x83
+
+# CHECK: s_min_u32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0xff,0x83]
+0x01,0x02,0xff,0x83
+
+# CHECK: s_min_u32 s5, s101, s2    ; encoding: [0x65,0x02,0x85,0x83]
+0x65,0x02,0x85,0x83
+
+# CHECK: s_min_u32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x85,0x83]
+0x66,0x02,0x85,0x83
+
+# CHECK: s_min_u32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x85,0x83]
+0x67,0x02,0x85,0x83
+
+# CHECK: s_min_u32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x85,0x83]
+0x6a,0x02,0x85,0x83
+
+# CHECK: s_min_u32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x85,0x83]
+0x6b,0x02,0x85,0x83
+
+# CHECK: s_min_u32 s5, m0, s2    ; encoding: [0x7c,0x02,0x85,0x83]
+0x7c,0x02,0x85,0x83
+
+# CHECK: s_min_u32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x85,0x83]
+0x7e,0x02,0x85,0x83
+
+# CHECK: s_min_u32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x85,0x83]
+0x7f,0x02,0x85,0x83
+
+# CHECK: s_min_u32 s5, 0, s2    ; encoding: [0x80,0x02,0x85,0x83]
+0x80,0x02,0x85,0x83
+
+# CHECK: s_min_u32 s5, -1, s2    ; encoding: [0xc1,0x02,0x85,0x83]
+0xc1,0x02,0x85,0x83
+
+# CHECK: s_min_u32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x85,0x83]
+0xf0,0x02,0x85,0x83
+
+# CHECK: s_min_u32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x85,0x83]
+0xf7,0x02,0x85,0x83
+
+# CHECK: s_min_u32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x85,0x83,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x85,0x83,0x56,0x34,0x12,0xaf
+
+# CHECK: s_min_u32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x85,0x83,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x85,0x83,0x73,0x72,0x71,0x3f
+
+# CHECK: s_min_u32 s5, s1, s101    ; encoding: [0x01,0x65,0x85,0x83]
+0x01,0x65,0x85,0x83
+
+# CHECK: s_min_u32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x85,0x83]
+0x01,0x66,0x85,0x83
+
+# CHECK: s_min_u32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x85,0x83]
+0x01,0x67,0x85,0x83
+
+# CHECK: s_min_u32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x85,0x83]
+0x01,0x6a,0x85,0x83
+
+# CHECK: s_min_u32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x85,0x83]
+0x01,0x6b,0x85,0x83
+
+# CHECK: s_min_u32 s5, s1, m0    ; encoding: [0x01,0x7c,0x85,0x83]
+0x01,0x7c,0x85,0x83
+
+# CHECK: s_min_u32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x85,0x83]
+0x01,0x7e,0x85,0x83
+
+# CHECK: s_min_u32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x85,0x83]
+0x01,0x7f,0x85,0x83
+
+# CHECK: s_min_u32 s5, s1, 0    ; encoding: [0x01,0x80,0x85,0x83]
+0x01,0x80,0x85,0x83
+
+# CHECK: s_min_u32 s5, s1, -1    ; encoding: [0x01,0xc1,0x85,0x83]
+0x01,0xc1,0x85,0x83
+
+# CHECK: s_min_u32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x85,0x83]
+0x01,0xf0,0x85,0x83
+
+# CHECK: s_min_u32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x85,0x83]
+0x01,0xf7,0x85,0x83
+
+# CHECK: s_min_u32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x85,0x83,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x85,0x83,0x56,0x34,0x12,0xaf
+
+# CHECK: s_min_u32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x85,0x83,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x85,0x83,0x73,0x72,0x71,0x3f
+
+# CHECK: s_max_i32 s5, s1, s2    ; encoding: [0x01,0x02,0x05,0x84]
+0x01,0x02,0x05,0x84
+
+# CHECK: s_max_i32 s101, s1, s2    ; encoding: [0x01,0x02,0x65,0x84]
+0x01,0x02,0x65,0x84
+
+# CHECK: s_max_i32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0x66,0x84]
+0x01,0x02,0x66,0x84
+
+# CHECK: s_max_i32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0x67,0x84]
+0x01,0x02,0x67,0x84
+
+# CHECK: s_max_i32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0x6a,0x84]
+0x01,0x02,0x6a,0x84
+
+# CHECK: s_max_i32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0x6b,0x84]
+0x01,0x02,0x6b,0x84
+
+# CHECK: s_max_i32 m0, s1, s2    ; encoding: [0x01,0x02,0x7c,0x84]
+0x01,0x02,0x7c,0x84
+
+# CHECK: s_max_i32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0x7e,0x84]
+0x01,0x02,0x7e,0x84
+
+# CHECK: s_max_i32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0x7f,0x84]
+0x01,0x02,0x7f,0x84
+
+# CHECK: s_max_i32 s5, s101, s2    ; encoding: [0x65,0x02,0x05,0x84]
+0x65,0x02,0x05,0x84
+
+# CHECK: s_max_i32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x05,0x84]
+0x66,0x02,0x05,0x84
+
+# CHECK: s_max_i32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x05,0x84]
+0x67,0x02,0x05,0x84
+
+# CHECK: s_max_i32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x05,0x84]
+0x6a,0x02,0x05,0x84
+
+# CHECK: s_max_i32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x05,0x84]
+0x6b,0x02,0x05,0x84
+
+# CHECK: s_max_i32 s5, m0, s2    ; encoding: [0x7c,0x02,0x05,0x84]
+0x7c,0x02,0x05,0x84
+
+# CHECK: s_max_i32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x05,0x84]
+0x7e,0x02,0x05,0x84
+
+# CHECK: s_max_i32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x05,0x84]
+0x7f,0x02,0x05,0x84
+
+# CHECK: s_max_i32 s5, 0, s2    ; encoding: [0x80,0x02,0x05,0x84]
+0x80,0x02,0x05,0x84
+
+# CHECK: s_max_i32 s5, -1, s2    ; encoding: [0xc1,0x02,0x05,0x84]
+0xc1,0x02,0x05,0x84
+
+# CHECK: s_max_i32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x05,0x84]
+0xf0,0x02,0x05,0x84
+
+# CHECK: s_max_i32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x05,0x84]
+0xf7,0x02,0x05,0x84
+
+# CHECK: s_max_i32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x05,0x84,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x05,0x84,0x56,0x34,0x12,0xaf
+
+# CHECK: s_max_i32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x05,0x84,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x05,0x84,0x73,0x72,0x71,0x3f
+
+# CHECK: s_max_i32 s5, s1, s101    ; encoding: [0x01,0x65,0x05,0x84]
+0x01,0x65,0x05,0x84
+
+# CHECK: s_max_i32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x05,0x84]
+0x01,0x66,0x05,0x84
+
+# CHECK: s_max_i32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x05,0x84]
+0x01,0x67,0x05,0x84
+
+# CHECK: s_max_i32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x05,0x84]
+0x01,0x6a,0x05,0x84
+
+# CHECK: s_max_i32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x05,0x84]
+0x01,0x6b,0x05,0x84
+
+# CHECK: s_max_i32 s5, s1, m0    ; encoding: [0x01,0x7c,0x05,0x84]
+0x01,0x7c,0x05,0x84
+
+# CHECK: s_max_i32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x05,0x84]
+0x01,0x7e,0x05,0x84
+
+# CHECK: s_max_i32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x05,0x84]
+0x01,0x7f,0x05,0x84
+
+# CHECK: s_max_i32 s5, s1, 0    ; encoding: [0x01,0x80,0x05,0x84]
+0x01,0x80,0x05,0x84
+
+# CHECK: s_max_i32 s5, s1, -1    ; encoding: [0x01,0xc1,0x05,0x84]
+0x01,0xc1,0x05,0x84
+
+# CHECK: s_max_i32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x05,0x84]
+0x01,0xf0,0x05,0x84
+
+# CHECK: s_max_i32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x05,0x84]
+0x01,0xf7,0x05,0x84
+
+# CHECK: s_max_i32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x05,0x84,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x05,0x84,0x56,0x34,0x12,0xaf
+
+# CHECK: s_max_i32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x05,0x84,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x05,0x84,0x73,0x72,0x71,0x3f
+
+# CHECK: s_max_u32 s5, s1, s2    ; encoding: [0x01,0x02,0x85,0x84]
+0x01,0x02,0x85,0x84
+
+# CHECK: s_max_u32 s101, s1, s2    ; encoding: [0x01,0x02,0xe5,0x84]
+0x01,0x02,0xe5,0x84
+
+# CHECK: s_max_u32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0xe6,0x84]
+0x01,0x02,0xe6,0x84
+
+# CHECK: s_max_u32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0xe7,0x84]
+0x01,0x02,0xe7,0x84
+
+# CHECK: s_max_u32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0xea,0x84]
+0x01,0x02,0xea,0x84
+
+# CHECK: s_max_u32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0xeb,0x84]
+0x01,0x02,0xeb,0x84
+
+# CHECK: s_max_u32 m0, s1, s2    ; encoding: [0x01,0x02,0xfc,0x84]
+0x01,0x02,0xfc,0x84
+
+# CHECK: s_max_u32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0xfe,0x84]
+0x01,0x02,0xfe,0x84
+
+# CHECK: s_max_u32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0xff,0x84]
+0x01,0x02,0xff,0x84
+
+# CHECK: s_max_u32 s5, s101, s2    ; encoding: [0x65,0x02,0x85,0x84]
+0x65,0x02,0x85,0x84
+
+# CHECK: s_max_u32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x85,0x84]
+0x66,0x02,0x85,0x84
+
+# CHECK: s_max_u32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x85,0x84]
+0x67,0x02,0x85,0x84
+
+# CHECK: s_max_u32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x85,0x84]
+0x6a,0x02,0x85,0x84
+
+# CHECK: s_max_u32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x85,0x84]
+0x6b,0x02,0x85,0x84
+
+# CHECK: s_max_u32 s5, m0, s2    ; encoding: [0x7c,0x02,0x85,0x84]
+0x7c,0x02,0x85,0x84
+
+# CHECK: s_max_u32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x85,0x84]
+0x7e,0x02,0x85,0x84
+
+# CHECK: s_max_u32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x85,0x84]
+0x7f,0x02,0x85,0x84
+
+# CHECK: s_max_u32 s5, 0, s2    ; encoding: [0x80,0x02,0x85,0x84]
+0x80,0x02,0x85,0x84
+
+# CHECK: s_max_u32 s5, -1, s2    ; encoding: [0xc1,0x02,0x85,0x84]
+0xc1,0x02,0x85,0x84
+
+# CHECK: s_max_u32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x85,0x84]
+0xf0,0x02,0x85,0x84
+
+# CHECK: s_max_u32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x85,0x84]
+0xf7,0x02,0x85,0x84
+
+# CHECK: s_max_u32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x85,0x84,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x85,0x84,0x56,0x34,0x12,0xaf
+
+# CHECK: s_max_u32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x85,0x84,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x85,0x84,0x73,0x72,0x71,0x3f
+
+# CHECK: s_max_u32 s5, s1, s101    ; encoding: [0x01,0x65,0x85,0x84]
+0x01,0x65,0x85,0x84
+
+# CHECK: s_max_u32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x85,0x84]
+0x01,0x66,0x85,0x84
+
+# CHECK: s_max_u32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x85,0x84]
+0x01,0x67,0x85,0x84
+
+# CHECK: s_max_u32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x85,0x84]
+0x01,0x6a,0x85,0x84
+
+# CHECK: s_max_u32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x85,0x84]
+0x01,0x6b,0x85,0x84
+
+# CHECK: s_max_u32 s5, s1, m0    ; encoding: [0x01,0x7c,0x85,0x84]
+0x01,0x7c,0x85,0x84
+
+# CHECK: s_max_u32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x85,0x84]
+0x01,0x7e,0x85,0x84
+
+# CHECK: s_max_u32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x85,0x84]
+0x01,0x7f,0x85,0x84
+
+# CHECK: s_max_u32 s5, s1, 0    ; encoding: [0x01,0x80,0x85,0x84]
+0x01,0x80,0x85,0x84
+
+# CHECK: s_max_u32 s5, s1, -1    ; encoding: [0x01,0xc1,0x85,0x84]
+0x01,0xc1,0x85,0x84
+
+# CHECK: s_max_u32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x85,0x84]
+0x01,0xf0,0x85,0x84
+
+# CHECK: s_max_u32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x85,0x84]
+0x01,0xf7,0x85,0x84
+
+# CHECK: s_max_u32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x85,0x84,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x85,0x84,0x56,0x34,0x12,0xaf
+
+# CHECK: s_max_u32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x85,0x84,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x85,0x84,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cselect_b32 s5, s1, s2    ; encoding: [0x01,0x02,0x05,0x85]
+0x01,0x02,0x05,0x85
+
+# CHECK: s_cselect_b32 s101, s1, s2    ; encoding: [0x01,0x02,0x65,0x85]
+0x01,0x02,0x65,0x85
+
+# CHECK: s_cselect_b32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0x66,0x85]
+0x01,0x02,0x66,0x85
+
+# CHECK: s_cselect_b32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0x67,0x85]
+0x01,0x02,0x67,0x85
+
+# CHECK: s_cselect_b32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0x6a,0x85]
+0x01,0x02,0x6a,0x85
+
+# CHECK: s_cselect_b32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0x6b,0x85]
+0x01,0x02,0x6b,0x85
+
+# CHECK: s_cselect_b32 m0, s1, s2    ; encoding: [0x01,0x02,0x7c,0x85]
+0x01,0x02,0x7c,0x85
+
+# CHECK: s_cselect_b32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0x7e,0x85]
+0x01,0x02,0x7e,0x85
+
+# CHECK: s_cselect_b32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0x7f,0x85]
+0x01,0x02,0x7f,0x85
+
+# CHECK: s_cselect_b32 s5, s101, s2    ; encoding: [0x65,0x02,0x05,0x85]
+0x65,0x02,0x05,0x85
+
+# CHECK: s_cselect_b32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x05,0x85]
+0x66,0x02,0x05,0x85
+
+# CHECK: s_cselect_b32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x05,0x85]
+0x67,0x02,0x05,0x85
+
+# CHECK: s_cselect_b32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x05,0x85]
+0x6a,0x02,0x05,0x85
+
+# CHECK: s_cselect_b32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x05,0x85]
+0x6b,0x02,0x05,0x85
+
+# CHECK: s_cselect_b32 s5, m0, s2    ; encoding: [0x7c,0x02,0x05,0x85]
+0x7c,0x02,0x05,0x85
+
+# CHECK: s_cselect_b32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x05,0x85]
+0x7e,0x02,0x05,0x85
+
+# CHECK: s_cselect_b32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x05,0x85]
+0x7f,0x02,0x05,0x85
+
+# CHECK: s_cselect_b32 s5, 0, s2    ; encoding: [0x80,0x02,0x05,0x85]
+0x80,0x02,0x05,0x85
+
+# CHECK: s_cselect_b32 s5, -1, s2    ; encoding: [0xc1,0x02,0x05,0x85]
+0xc1,0x02,0x05,0x85
+
+# CHECK: s_cselect_b32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x05,0x85]
+0xf0,0x02,0x05,0x85
+
+# CHECK: s_cselect_b32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x05,0x85]
+0xf7,0x02,0x05,0x85
+
+# CHECK: s_cselect_b32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x05,0x85,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x05,0x85,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cselect_b32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x05,0x85,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x05,0x85,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cselect_b32 s5, s1, s101    ; encoding: [0x01,0x65,0x05,0x85]
+0x01,0x65,0x05,0x85
+
+# CHECK: s_cselect_b32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x05,0x85]
+0x01,0x66,0x05,0x85
+
+# CHECK: s_cselect_b32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x05,0x85]
+0x01,0x67,0x05,0x85
+
+# CHECK: s_cselect_b32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x05,0x85]
+0x01,0x6a,0x05,0x85
+
+# CHECK: s_cselect_b32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x05,0x85]
+0x01,0x6b,0x05,0x85
+
+# CHECK: s_cselect_b32 s5, s1, m0    ; encoding: [0x01,0x7c,0x05,0x85]
+0x01,0x7c,0x05,0x85
+
+# CHECK: s_cselect_b32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x05,0x85]
+0x01,0x7e,0x05,0x85
+
+# CHECK: s_cselect_b32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x05,0x85]
+0x01,0x7f,0x05,0x85
+
+# CHECK: s_cselect_b32 s5, s1, 0    ; encoding: [0x01,0x80,0x05,0x85]
+0x01,0x80,0x05,0x85
+
+# CHECK: s_cselect_b32 s5, s1, -1    ; encoding: [0x01,0xc1,0x05,0x85]
+0x01,0xc1,0x05,0x85
+
+# CHECK: s_cselect_b32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x05,0x85]
+0x01,0xf0,0x05,0x85
+
+# CHECK: s_cselect_b32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x05,0x85]
+0x01,0xf7,0x05,0x85
+
+# CHECK: s_cselect_b32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x05,0x85,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x05,0x85,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cselect_b32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x05,0x85,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x05,0x85,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cselect_b64 s[10:11], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0x8a,0x85]
+0x02,0x04,0x8a,0x85
+
+# CHECK: s_cselect_b64 s[12:13], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0x8c,0x85]
+0x02,0x04,0x8c,0x85
+
+# CHECK: s_cselect_b64 s[100:101], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xe4,0x85]
+0x02,0x04,0xe4,0x85
+
+# CHECK: s_cselect_b64 flat_scratch, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xe6,0x85]
+0x02,0x04,0xe6,0x85
+
+# CHECK: s_cselect_b64 vcc, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xea,0x85]
+0x02,0x04,0xea,0x85
+
+# CHECK: s_cselect_b64 exec, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xfe,0x85]
+0x02,0x04,0xfe,0x85
+
+# CHECK: s_cselect_b64 s[10:11], s[4:5], s[4:5]    ; encoding: [0x04,0x04,0x8a,0x85]
+0x04,0x04,0x8a,0x85
+
+# CHECK: s_cselect_b64 s[10:11], s[100:101], s[4:5]    ; encoding: [0x64,0x04,0x8a,0x85]
+0x64,0x04,0x8a,0x85
+
+# CHECK: s_cselect_b64 s[10:11], flat_scratch, s[4:5]    ; encoding: [0x66,0x04,0x8a,0x85]
+0x66,0x04,0x8a,0x85
+
+# CHECK: s_cselect_b64 s[10:11], vcc, s[4:5]    ; encoding: [0x6a,0x04,0x8a,0x85]
+0x6a,0x04,0x8a,0x85
+
+# CHECK: s_cselect_b64 s[10:11], exec, s[4:5]    ; encoding: [0x7e,0x04,0x8a,0x85]
+0x7e,0x04,0x8a,0x85
+
+# CHECK: s_cselect_b64 s[10:11], 0, s[4:5]    ; encoding: [0x80,0x04,0x8a,0x85]
+0x80,0x04,0x8a,0x85
+
+# CHECK: s_cselect_b64 s[10:11], -1, s[4:5]    ; encoding: [0xc1,0x04,0x8a,0x85]
+0xc1,0x04,0x8a,0x85
+
+# CHECK: s_cselect_b64 s[10:11], 0.5, s[4:5]    ; encoding: [0xf0,0x04,0x8a,0x85]
+0xf0,0x04,0x8a,0x85
+
+# CHECK: s_cselect_b64 s[10:11], -4.0, s[4:5]    ; encoding: [0xf7,0x04,0x8a,0x85]
+0xf7,0x04,0x8a,0x85
+
+# CHECK: s_cselect_b64 s[10:11], 0xaf123456, s[4:5]    ; encoding: [0xff,0x04,0x8a,0x85,0x56,0x34,0x12,0xaf]
+0xff,0x04,0x8a,0x85,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cselect_b64 s[10:11], 0x3f717273, s[4:5]    ; encoding: [0xff,0x04,0x8a,0x85,0x73,0x72,0x71,0x3f]
+0xff,0x04,0x8a,0x85,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cselect_b64 s[10:11], s[2:3], s[6:7]    ; encoding: [0x02,0x06,0x8a,0x85]
+0x02,0x06,0x8a,0x85
+
+# CHECK: s_cselect_b64 s[10:11], s[2:3], s[100:101]    ; encoding: [0x02,0x64,0x8a,0x85]
+0x02,0x64,0x8a,0x85
+
+# CHECK: s_cselect_b64 s[10:11], s[2:3], flat_scratch    ; encoding: [0x02,0x66,0x8a,0x85]
+0x02,0x66,0x8a,0x85
+
+# CHECK: s_cselect_b64 s[10:11], s[2:3], vcc    ; encoding: [0x02,0x6a,0x8a,0x85]
+0x02,0x6a,0x8a,0x85
+
+# CHECK: s_cselect_b64 s[10:11], s[2:3], exec    ; encoding: [0x02,0x7e,0x8a,0x85]
+0x02,0x7e,0x8a,0x85
+
+# CHECK: s_cselect_b64 s[10:11], s[2:3], 0    ; encoding: [0x02,0x80,0x8a,0x85]
+0x02,0x80,0x8a,0x85
+
+# CHECK: s_cselect_b64 s[10:11], s[2:3], -1    ; encoding: [0x02,0xc1,0x8a,0x85]
+0x02,0xc1,0x8a,0x85
+
+# CHECK: s_cselect_b64 s[10:11], s[2:3], 0.5    ; encoding: [0x02,0xf0,0x8a,0x85]
+0x02,0xf0,0x8a,0x85
+
+# CHECK: s_cselect_b64 s[10:11], s[2:3], -4.0    ; encoding: [0x02,0xf7,0x8a,0x85]
+0x02,0xf7,0x8a,0x85
+
+# CHECK: s_cselect_b64 s[10:11], s[2:3], 0xaf123456    ; encoding: [0x02,0xff,0x8a,0x85,0x56,0x34,0x12,0xaf]
+0x02,0xff,0x8a,0x85,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cselect_b64 s[10:11], s[2:3], 0x3f717273    ; encoding: [0x02,0xff,0x8a,0x85,0x73,0x72,0x71,0x3f]
+0x02,0xff,0x8a,0x85,0x73,0x72,0x71,0x3f
+
+# CHECK: s_and_b32 s5, s1, s2    ; encoding: [0x01,0x02,0x05,0x86]
+0x01,0x02,0x05,0x86
+
+# CHECK: s_and_b32 s101, s1, s2    ; encoding: [0x01,0x02,0x65,0x86]
+0x01,0x02,0x65,0x86
+
+# CHECK: s_and_b32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0x66,0x86]
+0x01,0x02,0x66,0x86
+
+# CHECK: s_and_b32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0x67,0x86]
+0x01,0x02,0x67,0x86
+
+# CHECK: s_and_b32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0x6a,0x86]
+0x01,0x02,0x6a,0x86
+
+# CHECK: s_and_b32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0x6b,0x86]
+0x01,0x02,0x6b,0x86
+
+# CHECK: s_and_b32 m0, s1, s2    ; encoding: [0x01,0x02,0x7c,0x86]
+0x01,0x02,0x7c,0x86
+
+# CHECK: s_and_b32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0x7e,0x86]
+0x01,0x02,0x7e,0x86
+
+# CHECK: s_and_b32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0x7f,0x86]
+0x01,0x02,0x7f,0x86
+
+# CHECK: s_and_b32 s5, s101, s2    ; encoding: [0x65,0x02,0x05,0x86]
+0x65,0x02,0x05,0x86
+
+# CHECK: s_and_b32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x05,0x86]
+0x66,0x02,0x05,0x86
+
+# CHECK: s_and_b32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x05,0x86]
+0x67,0x02,0x05,0x86
+
+# CHECK: s_and_b32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x05,0x86]
+0x6a,0x02,0x05,0x86
+
+# CHECK: s_and_b32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x05,0x86]
+0x6b,0x02,0x05,0x86
+
+# CHECK: s_and_b32 s5, m0, s2    ; encoding: [0x7c,0x02,0x05,0x86]
+0x7c,0x02,0x05,0x86
+
+# CHECK: s_and_b32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x05,0x86]
+0x7e,0x02,0x05,0x86
+
+# CHECK: s_and_b32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x05,0x86]
+0x7f,0x02,0x05,0x86
+
+# CHECK: s_and_b32 s5, 0, s2    ; encoding: [0x80,0x02,0x05,0x86]
+0x80,0x02,0x05,0x86
+
+# CHECK: s_and_b32 s5, -1, s2    ; encoding: [0xc1,0x02,0x05,0x86]
+0xc1,0x02,0x05,0x86
+
+# CHECK: s_and_b32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x05,0x86]
+0xf0,0x02,0x05,0x86
+
+# CHECK: s_and_b32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x05,0x86]
+0xf7,0x02,0x05,0x86
+
+# CHECK: s_and_b32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x05,0x86,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x05,0x86,0x56,0x34,0x12,0xaf
+
+# CHECK: s_and_b32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x05,0x86,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x05,0x86,0x73,0x72,0x71,0x3f
+
+# CHECK: s_and_b32 s5, s1, s101    ; encoding: [0x01,0x65,0x05,0x86]
+0x01,0x65,0x05,0x86
+
+# CHECK: s_and_b32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x05,0x86]
+0x01,0x66,0x05,0x86
+
+# CHECK: s_and_b32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x05,0x86]
+0x01,0x67,0x05,0x86
+
+# CHECK: s_and_b32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x05,0x86]
+0x01,0x6a,0x05,0x86
+
+# CHECK: s_and_b32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x05,0x86]
+0x01,0x6b,0x05,0x86
+
+# CHECK: s_and_b32 s5, s1, m0    ; encoding: [0x01,0x7c,0x05,0x86]
+0x01,0x7c,0x05,0x86
+
+# CHECK: s_and_b32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x05,0x86]
+0x01,0x7e,0x05,0x86
+
+# CHECK: s_and_b32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x05,0x86]
+0x01,0x7f,0x05,0x86
+
+# CHECK: s_and_b32 s5, s1, 0    ; encoding: [0x01,0x80,0x05,0x86]
+0x01,0x80,0x05,0x86
+
+# CHECK: s_and_b32 s5, s1, -1    ; encoding: [0x01,0xc1,0x05,0x86]
+0x01,0xc1,0x05,0x86
+
+# CHECK: s_and_b32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x05,0x86]
+0x01,0xf0,0x05,0x86
+
+# CHECK: s_and_b32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x05,0x86]
+0x01,0xf7,0x05,0x86
+
+# CHECK: s_and_b32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x05,0x86,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x05,0x86,0x56,0x34,0x12,0xaf
+
+# CHECK: s_and_b32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x05,0x86,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x05,0x86,0x73,0x72,0x71,0x3f
+
+# CHECK: s_and_b64 s[10:11], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0x8a,0x86]
+0x02,0x04,0x8a,0x86
+
+# CHECK: s_and_b64 s[12:13], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0x8c,0x86]
+0x02,0x04,0x8c,0x86
+
+# CHECK: s_and_b64 s[100:101], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xe4,0x86]
+0x02,0x04,0xe4,0x86
+
+# CHECK: s_and_b64 flat_scratch, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xe6,0x86]
+0x02,0x04,0xe6,0x86
+
+# CHECK: s_and_b64 vcc, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xea,0x86]
+0x02,0x04,0xea,0x86
+
+# CHECK: s_and_b64 exec, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xfe,0x86]
+0x02,0x04,0xfe,0x86
+
+# CHECK: s_and_b64 s[10:11], s[4:5], s[4:5]    ; encoding: [0x04,0x04,0x8a,0x86]
+0x04,0x04,0x8a,0x86
+
+# CHECK: s_and_b64 s[10:11], s[100:101], s[4:5]    ; encoding: [0x64,0x04,0x8a,0x86]
+0x64,0x04,0x8a,0x86
+
+# CHECK: s_and_b64 s[10:11], flat_scratch, s[4:5]    ; encoding: [0x66,0x04,0x8a,0x86]
+0x66,0x04,0x8a,0x86
+
+# CHECK: s_and_b64 s[10:11], vcc, s[4:5]    ; encoding: [0x6a,0x04,0x8a,0x86]
+0x6a,0x04,0x8a,0x86
+
+# CHECK: s_and_b64 s[10:11], exec, s[4:5]    ; encoding: [0x7e,0x04,0x8a,0x86]
+0x7e,0x04,0x8a,0x86
+
+# CHECK: s_and_b64 s[10:11], 0, s[4:5]    ; encoding: [0x80,0x04,0x8a,0x86]
+0x80,0x04,0x8a,0x86
+
+# CHECK: s_and_b64 s[10:11], -1, s[4:5]    ; encoding: [0xc1,0x04,0x8a,0x86]
+0xc1,0x04,0x8a,0x86
+
+# CHECK: s_and_b64 s[10:11], 0.5, s[4:5]    ; encoding: [0xf0,0x04,0x8a,0x86]
+0xf0,0x04,0x8a,0x86
+
+# CHECK: s_and_b64 s[10:11], -4.0, s[4:5]    ; encoding: [0xf7,0x04,0x8a,0x86]
+0xf7,0x04,0x8a,0x86
+
+# CHECK: s_and_b64 s[10:11], 0xaf123456, s[4:5]    ; encoding: [0xff,0x04,0x8a,0x86,0x56,0x34,0x12,0xaf]
+0xff,0x04,0x8a,0x86,0x56,0x34,0x12,0xaf
+
+# CHECK: s_and_b64 s[10:11], 0x3f717273, s[4:5]    ; encoding: [0xff,0x04,0x8a,0x86,0x73,0x72,0x71,0x3f]
+0xff,0x04,0x8a,0x86,0x73,0x72,0x71,0x3f
+
+# CHECK: s_and_b64 s[10:11], s[2:3], s[6:7]    ; encoding: [0x02,0x06,0x8a,0x86]
+0x02,0x06,0x8a,0x86
+
+# CHECK: s_and_b64 s[10:11], s[2:3], s[100:101]    ; encoding: [0x02,0x64,0x8a,0x86]
+0x02,0x64,0x8a,0x86
+
+# CHECK: s_and_b64 s[10:11], s[2:3], flat_scratch    ; encoding: [0x02,0x66,0x8a,0x86]
+0x02,0x66,0x8a,0x86
+
+# CHECK: s_and_b64 s[10:11], s[2:3], vcc    ; encoding: [0x02,0x6a,0x8a,0x86]
+0x02,0x6a,0x8a,0x86
+
+# CHECK: s_and_b64 s[10:11], s[2:3], exec    ; encoding: [0x02,0x7e,0x8a,0x86]
+0x02,0x7e,0x8a,0x86
+
+# CHECK: s_and_b64 s[10:11], s[2:3], 0    ; encoding: [0x02,0x80,0x8a,0x86]
+0x02,0x80,0x8a,0x86
+
+# CHECK: s_and_b64 s[10:11], s[2:3], -1    ; encoding: [0x02,0xc1,0x8a,0x86]
+0x02,0xc1,0x8a,0x86
+
+# CHECK: s_and_b64 s[10:11], s[2:3], 0.5    ; encoding: [0x02,0xf0,0x8a,0x86]
+0x02,0xf0,0x8a,0x86
+
+# CHECK: s_and_b64 s[10:11], s[2:3], -4.0    ; encoding: [0x02,0xf7,0x8a,0x86]
+0x02,0xf7,0x8a,0x86
+
+# CHECK: s_and_b64 s[10:11], s[2:3], 0xaf123456    ; encoding: [0x02,0xff,0x8a,0x86,0x56,0x34,0x12,0xaf]
+0x02,0xff,0x8a,0x86,0x56,0x34,0x12,0xaf
+
+# CHECK: s_and_b64 s[10:11], s[2:3], 0x3f717273    ; encoding: [0x02,0xff,0x8a,0x86,0x73,0x72,0x71,0x3f]
+0x02,0xff,0x8a,0x86,0x73,0x72,0x71,0x3f
+
+# CHECK: s_or_b32 s5, s1, s2    ; encoding: [0x01,0x02,0x05,0x87]
+0x01,0x02,0x05,0x87
+
+# CHECK: s_or_b32 s101, s1, s2    ; encoding: [0x01,0x02,0x65,0x87]
+0x01,0x02,0x65,0x87
+
+# CHECK: s_or_b32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0x66,0x87]
+0x01,0x02,0x66,0x87
+
+# CHECK: s_or_b32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0x67,0x87]
+0x01,0x02,0x67,0x87
+
+# CHECK: s_or_b32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0x6a,0x87]
+0x01,0x02,0x6a,0x87
+
+# CHECK: s_or_b32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0x6b,0x87]
+0x01,0x02,0x6b,0x87
+
+# CHECK: s_or_b32 m0, s1, s2    ; encoding: [0x01,0x02,0x7c,0x87]
+0x01,0x02,0x7c,0x87
+
+# CHECK: s_or_b32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0x7e,0x87]
+0x01,0x02,0x7e,0x87
+
+# CHECK: s_or_b32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0x7f,0x87]
+0x01,0x02,0x7f,0x87
+
+# CHECK: s_or_b32 s5, s101, s2    ; encoding: [0x65,0x02,0x05,0x87]
+0x65,0x02,0x05,0x87
+
+# CHECK: s_or_b32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x05,0x87]
+0x66,0x02,0x05,0x87
+
+# CHECK: s_or_b32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x05,0x87]
+0x67,0x02,0x05,0x87
+
+# CHECK: s_or_b32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x05,0x87]
+0x6a,0x02,0x05,0x87
+
+# CHECK: s_or_b32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x05,0x87]
+0x6b,0x02,0x05,0x87
+
+# CHECK: s_or_b32 s5, m0, s2    ; encoding: [0x7c,0x02,0x05,0x87]
+0x7c,0x02,0x05,0x87
+
+# CHECK: s_or_b32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x05,0x87]
+0x7e,0x02,0x05,0x87
+
+# CHECK: s_or_b32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x05,0x87]
+0x7f,0x02,0x05,0x87
+
+# CHECK: s_or_b32 s5, 0, s2    ; encoding: [0x80,0x02,0x05,0x87]
+0x80,0x02,0x05,0x87
+
+# CHECK: s_or_b32 s5, -1, s2    ; encoding: [0xc1,0x02,0x05,0x87]
+0xc1,0x02,0x05,0x87
+
+# CHECK: s_or_b32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x05,0x87]
+0xf0,0x02,0x05,0x87
+
+# CHECK: s_or_b32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x05,0x87]
+0xf7,0x02,0x05,0x87
+
+# CHECK: s_or_b32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x05,0x87,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x05,0x87,0x56,0x34,0x12,0xaf
+
+# CHECK: s_or_b32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x05,0x87,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x05,0x87,0x73,0x72,0x71,0x3f
+
+# CHECK: s_or_b32 s5, s1, s101    ; encoding: [0x01,0x65,0x05,0x87]
+0x01,0x65,0x05,0x87
+
+# CHECK: s_or_b32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x05,0x87]
+0x01,0x66,0x05,0x87
+
+# CHECK: s_or_b32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x05,0x87]
+0x01,0x67,0x05,0x87
+
+# CHECK: s_or_b32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x05,0x87]
+0x01,0x6a,0x05,0x87
+
+# CHECK: s_or_b32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x05,0x87]
+0x01,0x6b,0x05,0x87
+
+# CHECK: s_or_b32 s5, s1, m0    ; encoding: [0x01,0x7c,0x05,0x87]
+0x01,0x7c,0x05,0x87
+
+# CHECK: s_or_b32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x05,0x87]
+0x01,0x7e,0x05,0x87
+
+# CHECK: s_or_b32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x05,0x87]
+0x01,0x7f,0x05,0x87
+
+# CHECK: s_or_b32 s5, s1, 0    ; encoding: [0x01,0x80,0x05,0x87]
+0x01,0x80,0x05,0x87
+
+# CHECK: s_or_b32 s5, s1, -1    ; encoding: [0x01,0xc1,0x05,0x87]
+0x01,0xc1,0x05,0x87
+
+# CHECK: s_or_b32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x05,0x87]
+0x01,0xf0,0x05,0x87
+
+# CHECK: s_or_b32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x05,0x87]
+0x01,0xf7,0x05,0x87
+
+# CHECK: s_or_b32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x05,0x87,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x05,0x87,0x56,0x34,0x12,0xaf
+
+# CHECK: s_or_b32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x05,0x87,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x05,0x87,0x73,0x72,0x71,0x3f
+
+# CHECK: s_or_b64 s[10:11], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0x8a,0x87]
+0x02,0x04,0x8a,0x87
+
+# CHECK: s_or_b64 s[12:13], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0x8c,0x87]
+0x02,0x04,0x8c,0x87
+
+# CHECK: s_or_b64 s[100:101], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xe4,0x87]
+0x02,0x04,0xe4,0x87
+
+# CHECK: s_or_b64 flat_scratch, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xe6,0x87]
+0x02,0x04,0xe6,0x87
+
+# CHECK: s_or_b64 vcc, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xea,0x87]
+0x02,0x04,0xea,0x87
+
+# CHECK: s_or_b64 exec, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xfe,0x87]
+0x02,0x04,0xfe,0x87
+
+# CHECK: s_or_b64 s[10:11], s[4:5], s[4:5]    ; encoding: [0x04,0x04,0x8a,0x87]
+0x04,0x04,0x8a,0x87
+
+# CHECK: s_or_b64 s[10:11], s[100:101], s[4:5]    ; encoding: [0x64,0x04,0x8a,0x87]
+0x64,0x04,0x8a,0x87
+
+# CHECK: s_or_b64 s[10:11], flat_scratch, s[4:5]    ; encoding: [0x66,0x04,0x8a,0x87]
+0x66,0x04,0x8a,0x87
+
+# CHECK: s_or_b64 s[10:11], vcc, s[4:5]    ; encoding: [0x6a,0x04,0x8a,0x87]
+0x6a,0x04,0x8a,0x87
+
+# CHECK: s_or_b64 s[10:11], exec, s[4:5]    ; encoding: [0x7e,0x04,0x8a,0x87]
+0x7e,0x04,0x8a,0x87
+
+# CHECK: s_or_b64 s[10:11], 0, s[4:5]    ; encoding: [0x80,0x04,0x8a,0x87]
+0x80,0x04,0x8a,0x87
+
+# CHECK: s_or_b64 s[10:11], -1, s[4:5]    ; encoding: [0xc1,0x04,0x8a,0x87]
+0xc1,0x04,0x8a,0x87
+
+# CHECK: s_or_b64 s[10:11], 0.5, s[4:5]    ; encoding: [0xf0,0x04,0x8a,0x87]
+0xf0,0x04,0x8a,0x87
+
+# CHECK: s_or_b64 s[10:11], -4.0, s[4:5]    ; encoding: [0xf7,0x04,0x8a,0x87]
+0xf7,0x04,0x8a,0x87
+
+# CHECK: s_or_b64 s[10:11], 0xaf123456, s[4:5]    ; encoding: [0xff,0x04,0x8a,0x87,0x56,0x34,0x12,0xaf]
+0xff,0x04,0x8a,0x87,0x56,0x34,0x12,0xaf
+
+# CHECK: s_or_b64 s[10:11], 0x3f717273, s[4:5]    ; encoding: [0xff,0x04,0x8a,0x87,0x73,0x72,0x71,0x3f]
+0xff,0x04,0x8a,0x87,0x73,0x72,0x71,0x3f
+
+# CHECK: s_or_b64 s[10:11], s[2:3], s[6:7]    ; encoding: [0x02,0x06,0x8a,0x87]
+0x02,0x06,0x8a,0x87
+
+# CHECK: s_or_b64 s[10:11], s[2:3], s[100:101]    ; encoding: [0x02,0x64,0x8a,0x87]
+0x02,0x64,0x8a,0x87
+
+# CHECK: s_or_b64 s[10:11], s[2:3], flat_scratch    ; encoding: [0x02,0x66,0x8a,0x87]
+0x02,0x66,0x8a,0x87
+
+# CHECK: s_or_b64 s[10:11], s[2:3], vcc    ; encoding: [0x02,0x6a,0x8a,0x87]
+0x02,0x6a,0x8a,0x87
+
+# CHECK: s_or_b64 s[10:11], s[2:3], exec    ; encoding: [0x02,0x7e,0x8a,0x87]
+0x02,0x7e,0x8a,0x87
+
+# CHECK: s_or_b64 s[10:11], s[2:3], 0    ; encoding: [0x02,0x80,0x8a,0x87]
+0x02,0x80,0x8a,0x87
+
+# CHECK: s_or_b64 s[10:11], s[2:3], -1    ; encoding: [0x02,0xc1,0x8a,0x87]
+0x02,0xc1,0x8a,0x87
+
+# CHECK: s_or_b64 s[10:11], s[2:3], 0.5    ; encoding: [0x02,0xf0,0x8a,0x87]
+0x02,0xf0,0x8a,0x87
+
+# CHECK: s_or_b64 s[10:11], s[2:3], -4.0    ; encoding: [0x02,0xf7,0x8a,0x87]
+0x02,0xf7,0x8a,0x87
+
+# CHECK: s_or_b64 s[10:11], s[2:3], 0xaf123456    ; encoding: [0x02,0xff,0x8a,0x87,0x56,0x34,0x12,0xaf]
+0x02,0xff,0x8a,0x87,0x56,0x34,0x12,0xaf
+
+# CHECK: s_or_b64 s[10:11], s[2:3], 0x3f717273    ; encoding: [0x02,0xff,0x8a,0x87,0x73,0x72,0x71,0x3f]
+0x02,0xff,0x8a,0x87,0x73,0x72,0x71,0x3f
+
+# CHECK: s_xor_b32 s5, s1, s2    ; encoding: [0x01,0x02,0x05,0x88]
+0x01,0x02,0x05,0x88
+
+# CHECK: s_xor_b32 s101, s1, s2    ; encoding: [0x01,0x02,0x65,0x88]
+0x01,0x02,0x65,0x88
+
+# CHECK: s_xor_b32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0x66,0x88]
+0x01,0x02,0x66,0x88
+
+# CHECK: s_xor_b32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0x67,0x88]
+0x01,0x02,0x67,0x88
+
+# CHECK: s_xor_b32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0x6a,0x88]
+0x01,0x02,0x6a,0x88
+
+# CHECK: s_xor_b32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0x6b,0x88]
+0x01,0x02,0x6b,0x88
+
+# CHECK: s_xor_b32 m0, s1, s2    ; encoding: [0x01,0x02,0x7c,0x88]
+0x01,0x02,0x7c,0x88
+
+# CHECK: s_xor_b32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0x7e,0x88]
+0x01,0x02,0x7e,0x88
+
+# CHECK: s_xor_b32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0x7f,0x88]
+0x01,0x02,0x7f,0x88
+
+# CHECK: s_xor_b32 s5, s101, s2    ; encoding: [0x65,0x02,0x05,0x88]
+0x65,0x02,0x05,0x88
+
+# CHECK: s_xor_b32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x05,0x88]
+0x66,0x02,0x05,0x88
+
+# CHECK: s_xor_b32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x05,0x88]
+0x67,0x02,0x05,0x88
+
+# CHECK: s_xor_b32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x05,0x88]
+0x6a,0x02,0x05,0x88
+
+# CHECK: s_xor_b32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x05,0x88]
+0x6b,0x02,0x05,0x88
+
+# CHECK: s_xor_b32 s5, m0, s2    ; encoding: [0x7c,0x02,0x05,0x88]
+0x7c,0x02,0x05,0x88
+
+# CHECK: s_xor_b32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x05,0x88]
+0x7e,0x02,0x05,0x88
+
+# CHECK: s_xor_b32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x05,0x88]
+0x7f,0x02,0x05,0x88
+
+# CHECK: s_xor_b32 s5, 0, s2    ; encoding: [0x80,0x02,0x05,0x88]
+0x80,0x02,0x05,0x88
+
+# CHECK: s_xor_b32 s5, -1, s2    ; encoding: [0xc1,0x02,0x05,0x88]
+0xc1,0x02,0x05,0x88
+
+# CHECK: s_xor_b32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x05,0x88]
+0xf0,0x02,0x05,0x88
+
+# CHECK: s_xor_b32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x05,0x88]
+0xf7,0x02,0x05,0x88
+
+# CHECK: s_xor_b32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x05,0x88,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x05,0x88,0x56,0x34,0x12,0xaf
+
+# CHECK: s_xor_b32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x05,0x88,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x05,0x88,0x73,0x72,0x71,0x3f
+
+# CHECK: s_xor_b32 s5, s1, s101    ; encoding: [0x01,0x65,0x05,0x88]
+0x01,0x65,0x05,0x88
+
+# CHECK: s_xor_b32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x05,0x88]
+0x01,0x66,0x05,0x88
+
+# CHECK: s_xor_b32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x05,0x88]
+0x01,0x67,0x05,0x88
+
+# CHECK: s_xor_b32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x05,0x88]
+0x01,0x6a,0x05,0x88
+
+# CHECK: s_xor_b32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x05,0x88]
+0x01,0x6b,0x05,0x88
+
+# CHECK: s_xor_b32 s5, s1, m0    ; encoding: [0x01,0x7c,0x05,0x88]
+0x01,0x7c,0x05,0x88
+
+# CHECK: s_xor_b32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x05,0x88]
+0x01,0x7e,0x05,0x88
+
+# CHECK: s_xor_b32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x05,0x88]
+0x01,0x7f,0x05,0x88
+
+# CHECK: s_xor_b32 s5, s1, 0    ; encoding: [0x01,0x80,0x05,0x88]
+0x01,0x80,0x05,0x88
+
+# CHECK: s_xor_b32 s5, s1, -1    ; encoding: [0x01,0xc1,0x05,0x88]
+0x01,0xc1,0x05,0x88
+
+# CHECK: s_xor_b32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x05,0x88]
+0x01,0xf0,0x05,0x88
+
+# CHECK: s_xor_b32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x05,0x88]
+0x01,0xf7,0x05,0x88
+
+# CHECK: s_xor_b32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x05,0x88,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x05,0x88,0x56,0x34,0x12,0xaf
+
+# CHECK: s_xor_b32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x05,0x88,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x05,0x88,0x73,0x72,0x71,0x3f
+
+# CHECK: s_xor_b64 s[10:11], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0x8a,0x88]
+0x02,0x04,0x8a,0x88
+
+# CHECK: s_xor_b64 s[12:13], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0x8c,0x88]
+0x02,0x04,0x8c,0x88
+
+# CHECK: s_xor_b64 s[100:101], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xe4,0x88]
+0x02,0x04,0xe4,0x88
+
+# CHECK: s_xor_b64 flat_scratch, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xe6,0x88]
+0x02,0x04,0xe6,0x88
+
+# CHECK: s_xor_b64 vcc, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xea,0x88]
+0x02,0x04,0xea,0x88
+
+# CHECK: s_xor_b64 exec, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xfe,0x88]
+0x02,0x04,0xfe,0x88
+
+# CHECK: s_xor_b64 s[10:11], s[4:5], s[4:5]    ; encoding: [0x04,0x04,0x8a,0x88]
+0x04,0x04,0x8a,0x88
+
+# CHECK: s_xor_b64 s[10:11], s[100:101], s[4:5]    ; encoding: [0x64,0x04,0x8a,0x88]
+0x64,0x04,0x8a,0x88
+
+# CHECK: s_xor_b64 s[10:11], flat_scratch, s[4:5]    ; encoding: [0x66,0x04,0x8a,0x88]
+0x66,0x04,0x8a,0x88
+
+# CHECK: s_xor_b64 s[10:11], vcc, s[4:5]    ; encoding: [0x6a,0x04,0x8a,0x88]
+0x6a,0x04,0x8a,0x88
+
+# CHECK: s_xor_b64 s[10:11], exec, s[4:5]    ; encoding: [0x7e,0x04,0x8a,0x88]
+0x7e,0x04,0x8a,0x88
+
+# CHECK: s_xor_b64 s[10:11], 0, s[4:5]    ; encoding: [0x80,0x04,0x8a,0x88]
+0x80,0x04,0x8a,0x88
+
+# CHECK: s_xor_b64 s[10:11], -1, s[4:5]    ; encoding: [0xc1,0x04,0x8a,0x88]
+0xc1,0x04,0x8a,0x88
+
+# CHECK: s_xor_b64 s[10:11], 0.5, s[4:5]    ; encoding: [0xf0,0x04,0x8a,0x88]
+0xf0,0x04,0x8a,0x88
+
+# CHECK: s_xor_b64 s[10:11], -4.0, s[4:5]    ; encoding: [0xf7,0x04,0x8a,0x88]
+0xf7,0x04,0x8a,0x88
+
+# CHECK: s_xor_b64 s[10:11], 0xaf123456, s[4:5]    ; encoding: [0xff,0x04,0x8a,0x88,0x56,0x34,0x12,0xaf]
+0xff,0x04,0x8a,0x88,0x56,0x34,0x12,0xaf
+
+# CHECK: s_xor_b64 s[10:11], 0x3f717273, s[4:5]    ; encoding: [0xff,0x04,0x8a,0x88,0x73,0x72,0x71,0x3f]
+0xff,0x04,0x8a,0x88,0x73,0x72,0x71,0x3f
+
+# CHECK: s_xor_b64 s[10:11], s[2:3], s[6:7]    ; encoding: [0x02,0x06,0x8a,0x88]
+0x02,0x06,0x8a,0x88
+
+# CHECK: s_xor_b64 s[10:11], s[2:3], s[100:101]    ; encoding: [0x02,0x64,0x8a,0x88]
+0x02,0x64,0x8a,0x88
+
+# CHECK: s_xor_b64 s[10:11], s[2:3], flat_scratch    ; encoding: [0x02,0x66,0x8a,0x88]
+0x02,0x66,0x8a,0x88
+
+# CHECK: s_xor_b64 s[10:11], s[2:3], vcc    ; encoding: [0x02,0x6a,0x8a,0x88]
+0x02,0x6a,0x8a,0x88
+
+# CHECK: s_xor_b64 s[10:11], s[2:3], exec    ; encoding: [0x02,0x7e,0x8a,0x88]
+0x02,0x7e,0x8a,0x88
+
+# CHECK: s_xor_b64 s[10:11], s[2:3], 0    ; encoding: [0x02,0x80,0x8a,0x88]
+0x02,0x80,0x8a,0x88
+
+# CHECK: s_xor_b64 s[10:11], s[2:3], -1    ; encoding: [0x02,0xc1,0x8a,0x88]
+0x02,0xc1,0x8a,0x88
+
+# CHECK: s_xor_b64 s[10:11], s[2:3], 0.5    ; encoding: [0x02,0xf0,0x8a,0x88]
+0x02,0xf0,0x8a,0x88
+
+# CHECK: s_xor_b64 s[10:11], s[2:3], -4.0    ; encoding: [0x02,0xf7,0x8a,0x88]
+0x02,0xf7,0x8a,0x88
+
+# CHECK: s_xor_b64 s[10:11], s[2:3], 0xaf123456    ; encoding: [0x02,0xff,0x8a,0x88,0x56,0x34,0x12,0xaf]
+0x02,0xff,0x8a,0x88,0x56,0x34,0x12,0xaf
+
+# CHECK: s_xor_b64 s[10:11], s[2:3], 0x3f717273    ; encoding: [0x02,0xff,0x8a,0x88,0x73,0x72,0x71,0x3f]
+0x02,0xff,0x8a,0x88,0x73,0x72,0x71,0x3f
+
+# CHECK: s_andn2_b32 s5, s1, s2    ; encoding: [0x01,0x02,0x05,0x89]
+0x01,0x02,0x05,0x89
+
+# CHECK: s_andn2_b32 s101, s1, s2    ; encoding: [0x01,0x02,0x65,0x89]
+0x01,0x02,0x65,0x89
+
+# CHECK: s_andn2_b32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0x66,0x89]
+0x01,0x02,0x66,0x89
+
+# CHECK: s_andn2_b32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0x67,0x89]
+0x01,0x02,0x67,0x89
+
+# CHECK: s_andn2_b32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0x6a,0x89]
+0x01,0x02,0x6a,0x89
+
+# CHECK: s_andn2_b32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0x6b,0x89]
+0x01,0x02,0x6b,0x89
+
+# CHECK: s_andn2_b32 m0, s1, s2    ; encoding: [0x01,0x02,0x7c,0x89]
+0x01,0x02,0x7c,0x89
+
+# CHECK: s_andn2_b32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0x7e,0x89]
+0x01,0x02,0x7e,0x89
+
+# CHECK: s_andn2_b32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0x7f,0x89]
+0x01,0x02,0x7f,0x89
+
+# CHECK: s_andn2_b32 s5, s101, s2    ; encoding: [0x65,0x02,0x05,0x89]
+0x65,0x02,0x05,0x89
+
+# CHECK: s_andn2_b32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x05,0x89]
+0x66,0x02,0x05,0x89
+
+# CHECK: s_andn2_b32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x05,0x89]
+0x67,0x02,0x05,0x89
+
+# CHECK: s_andn2_b32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x05,0x89]
+0x6a,0x02,0x05,0x89
+
+# CHECK: s_andn2_b32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x05,0x89]
+0x6b,0x02,0x05,0x89
+
+# CHECK: s_andn2_b32 s5, m0, s2    ; encoding: [0x7c,0x02,0x05,0x89]
+0x7c,0x02,0x05,0x89
+
+# CHECK: s_andn2_b32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x05,0x89]
+0x7e,0x02,0x05,0x89
+
+# CHECK: s_andn2_b32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x05,0x89]
+0x7f,0x02,0x05,0x89
+
+# CHECK: s_andn2_b32 s5, 0, s2    ; encoding: [0x80,0x02,0x05,0x89]
+0x80,0x02,0x05,0x89
+
+# CHECK: s_andn2_b32 s5, -1, s2    ; encoding: [0xc1,0x02,0x05,0x89]
+0xc1,0x02,0x05,0x89
+
+# CHECK: s_andn2_b32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x05,0x89]
+0xf0,0x02,0x05,0x89
+
+# CHECK: s_andn2_b32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x05,0x89]
+0xf7,0x02,0x05,0x89
+
+# CHECK: s_andn2_b32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x05,0x89,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x05,0x89,0x56,0x34,0x12,0xaf
+
+# CHECK: s_andn2_b32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x05,0x89,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x05,0x89,0x73,0x72,0x71,0x3f
+
+# CHECK: s_andn2_b32 s5, s1, s101    ; encoding: [0x01,0x65,0x05,0x89]
+0x01,0x65,0x05,0x89
+
+# CHECK: s_andn2_b32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x05,0x89]
+0x01,0x66,0x05,0x89
+
+# CHECK: s_andn2_b32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x05,0x89]
+0x01,0x67,0x05,0x89
+
+# CHECK: s_andn2_b32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x05,0x89]
+0x01,0x6a,0x05,0x89
+
+# CHECK: s_andn2_b32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x05,0x89]
+0x01,0x6b,0x05,0x89
+
+# CHECK: s_andn2_b32 s5, s1, m0    ; encoding: [0x01,0x7c,0x05,0x89]
+0x01,0x7c,0x05,0x89
+
+# CHECK: s_andn2_b32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x05,0x89]
+0x01,0x7e,0x05,0x89
+
+# CHECK: s_andn2_b32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x05,0x89]
+0x01,0x7f,0x05,0x89
+
+# CHECK: s_andn2_b32 s5, s1, 0    ; encoding: [0x01,0x80,0x05,0x89]
+0x01,0x80,0x05,0x89
+
+# CHECK: s_andn2_b32 s5, s1, -1    ; encoding: [0x01,0xc1,0x05,0x89]
+0x01,0xc1,0x05,0x89
+
+# CHECK: s_andn2_b32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x05,0x89]
+0x01,0xf0,0x05,0x89
+
+# CHECK: s_andn2_b32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x05,0x89]
+0x01,0xf7,0x05,0x89
+
+# CHECK: s_andn2_b32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x05,0x89,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x05,0x89,0x56,0x34,0x12,0xaf
+
+# CHECK: s_andn2_b32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x05,0x89,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x05,0x89,0x73,0x72,0x71,0x3f
+
+# CHECK: s_andn2_b64 s[10:11], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0x8a,0x89]
+0x02,0x04,0x8a,0x89
+
+# CHECK: s_andn2_b64 s[12:13], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0x8c,0x89]
+0x02,0x04,0x8c,0x89
+
+# CHECK: s_andn2_b64 s[100:101], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xe4,0x89]
+0x02,0x04,0xe4,0x89
+
+# CHECK: s_andn2_b64 flat_scratch, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xe6,0x89]
+0x02,0x04,0xe6,0x89
+
+# CHECK: s_andn2_b64 vcc, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xea,0x89]
+0x02,0x04,0xea,0x89
+
+# CHECK: s_andn2_b64 exec, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xfe,0x89]
+0x02,0x04,0xfe,0x89
+
+# CHECK: s_andn2_b64 s[10:11], s[4:5], s[4:5]    ; encoding: [0x04,0x04,0x8a,0x89]
+0x04,0x04,0x8a,0x89
+
+# CHECK: s_andn2_b64 s[10:11], s[100:101], s[4:5]    ; encoding: [0x64,0x04,0x8a,0x89]
+0x64,0x04,0x8a,0x89
+
+# CHECK: s_andn2_b64 s[10:11], flat_scratch, s[4:5]    ; encoding: [0x66,0x04,0x8a,0x89]
+0x66,0x04,0x8a,0x89
+
+# CHECK: s_andn2_b64 s[10:11], vcc, s[4:5]    ; encoding: [0x6a,0x04,0x8a,0x89]
+0x6a,0x04,0x8a,0x89
+
+# CHECK: s_andn2_b64 s[10:11], exec, s[4:5]    ; encoding: [0x7e,0x04,0x8a,0x89]
+0x7e,0x04,0x8a,0x89
+
+# CHECK: s_andn2_b64 s[10:11], 0, s[4:5]    ; encoding: [0x80,0x04,0x8a,0x89]
+0x80,0x04,0x8a,0x89
+
+# CHECK: s_andn2_b64 s[10:11], -1, s[4:5]    ; encoding: [0xc1,0x04,0x8a,0x89]
+0xc1,0x04,0x8a,0x89
+
+# CHECK: s_andn2_b64 s[10:11], 0.5, s[4:5]    ; encoding: [0xf0,0x04,0x8a,0x89]
+0xf0,0x04,0x8a,0x89
+
+# CHECK: s_andn2_b64 s[10:11], -4.0, s[4:5]    ; encoding: [0xf7,0x04,0x8a,0x89]
+0xf7,0x04,0x8a,0x89
+
+# CHECK: s_andn2_b64 s[10:11], 0xaf123456, s[4:5]    ; encoding: [0xff,0x04,0x8a,0x89,0x56,0x34,0x12,0xaf]
+0xff,0x04,0x8a,0x89,0x56,0x34,0x12,0xaf
+
+# CHECK: s_andn2_b64 s[10:11], 0x3f717273, s[4:5]    ; encoding: [0xff,0x04,0x8a,0x89,0x73,0x72,0x71,0x3f]
+0xff,0x04,0x8a,0x89,0x73,0x72,0x71,0x3f
+
+# CHECK: s_andn2_b64 s[10:11], s[2:3], s[6:7]    ; encoding: [0x02,0x06,0x8a,0x89]
+0x02,0x06,0x8a,0x89
+
+# CHECK: s_andn2_b64 s[10:11], s[2:3], s[100:101]    ; encoding: [0x02,0x64,0x8a,0x89]
+0x02,0x64,0x8a,0x89
+
+# CHECK: s_andn2_b64 s[10:11], s[2:3], flat_scratch    ; encoding: [0x02,0x66,0x8a,0x89]
+0x02,0x66,0x8a,0x89
+
+# CHECK: s_andn2_b64 s[10:11], s[2:3], vcc    ; encoding: [0x02,0x6a,0x8a,0x89]
+0x02,0x6a,0x8a,0x89
+
+# CHECK: s_andn2_b64 s[10:11], s[2:3], exec    ; encoding: [0x02,0x7e,0x8a,0x89]
+0x02,0x7e,0x8a,0x89
+
+# CHECK: s_andn2_b64 s[10:11], s[2:3], 0    ; encoding: [0x02,0x80,0x8a,0x89]
+0x02,0x80,0x8a,0x89
+
+# CHECK: s_andn2_b64 s[10:11], s[2:3], -1    ; encoding: [0x02,0xc1,0x8a,0x89]
+0x02,0xc1,0x8a,0x89
+
+# CHECK: s_andn2_b64 s[10:11], s[2:3], 0.5    ; encoding: [0x02,0xf0,0x8a,0x89]
+0x02,0xf0,0x8a,0x89
+
+# CHECK: s_andn2_b64 s[10:11], s[2:3], -4.0    ; encoding: [0x02,0xf7,0x8a,0x89]
+0x02,0xf7,0x8a,0x89
+
+# CHECK: s_andn2_b64 s[10:11], s[2:3], 0xaf123456    ; encoding: [0x02,0xff,0x8a,0x89,0x56,0x34,0x12,0xaf]
+0x02,0xff,0x8a,0x89,0x56,0x34,0x12,0xaf
+
+# CHECK: s_andn2_b64 s[10:11], s[2:3], 0x3f717273    ; encoding: [0x02,0xff,0x8a,0x89,0x73,0x72,0x71,0x3f]
+0x02,0xff,0x8a,0x89,0x73,0x72,0x71,0x3f
+
+# CHECK: s_orn2_b32 s5, s1, s2    ; encoding: [0x01,0x02,0x05,0x8a]
+0x01,0x02,0x05,0x8a
+
+# CHECK: s_orn2_b32 s101, s1, s2    ; encoding: [0x01,0x02,0x65,0x8a]
+0x01,0x02,0x65,0x8a
+
+# CHECK: s_orn2_b32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0x66,0x8a]
+0x01,0x02,0x66,0x8a
+
+# CHECK: s_orn2_b32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0x67,0x8a]
+0x01,0x02,0x67,0x8a
+
+# CHECK: s_orn2_b32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0x6a,0x8a]
+0x01,0x02,0x6a,0x8a
+
+# CHECK: s_orn2_b32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0x6b,0x8a]
+0x01,0x02,0x6b,0x8a
+
+# CHECK: s_orn2_b32 m0, s1, s2    ; encoding: [0x01,0x02,0x7c,0x8a]
+0x01,0x02,0x7c,0x8a
+
+# CHECK: s_orn2_b32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0x7e,0x8a]
+0x01,0x02,0x7e,0x8a
+
+# CHECK: s_orn2_b32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0x7f,0x8a]
+0x01,0x02,0x7f,0x8a
+
+# CHECK: s_orn2_b32 s5, s101, s2    ; encoding: [0x65,0x02,0x05,0x8a]
+0x65,0x02,0x05,0x8a
+
+# CHECK: s_orn2_b32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x05,0x8a]
+0x66,0x02,0x05,0x8a
+
+# CHECK: s_orn2_b32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x05,0x8a]
+0x67,0x02,0x05,0x8a
+
+# CHECK: s_orn2_b32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x05,0x8a]
+0x6a,0x02,0x05,0x8a
+
+# CHECK: s_orn2_b32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x05,0x8a]
+0x6b,0x02,0x05,0x8a
+
+# CHECK: s_orn2_b32 s5, m0, s2    ; encoding: [0x7c,0x02,0x05,0x8a]
+0x7c,0x02,0x05,0x8a
+
+# CHECK: s_orn2_b32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x05,0x8a]
+0x7e,0x02,0x05,0x8a
+
+# CHECK: s_orn2_b32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x05,0x8a]
+0x7f,0x02,0x05,0x8a
+
+# CHECK: s_orn2_b32 s5, 0, s2    ; encoding: [0x80,0x02,0x05,0x8a]
+0x80,0x02,0x05,0x8a
+
+# CHECK: s_orn2_b32 s5, -1, s2    ; encoding: [0xc1,0x02,0x05,0x8a]
+0xc1,0x02,0x05,0x8a
+
+# CHECK: s_orn2_b32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x05,0x8a]
+0xf0,0x02,0x05,0x8a
+
+# CHECK: s_orn2_b32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x05,0x8a]
+0xf7,0x02,0x05,0x8a
+
+# CHECK: s_orn2_b32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x05,0x8a,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x05,0x8a,0x56,0x34,0x12,0xaf
+
+# CHECK: s_orn2_b32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x05,0x8a,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x05,0x8a,0x73,0x72,0x71,0x3f
+
+# CHECK: s_orn2_b32 s5, s1, s101    ; encoding: [0x01,0x65,0x05,0x8a]
+0x01,0x65,0x05,0x8a
+
+# CHECK: s_orn2_b32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x05,0x8a]
+0x01,0x66,0x05,0x8a
+
+# CHECK: s_orn2_b32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x05,0x8a]
+0x01,0x67,0x05,0x8a
+
+# CHECK: s_orn2_b32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x05,0x8a]
+0x01,0x6a,0x05,0x8a
+
+# CHECK: s_orn2_b32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x05,0x8a]
+0x01,0x6b,0x05,0x8a
+
+# CHECK: s_orn2_b32 s5, s1, m0    ; encoding: [0x01,0x7c,0x05,0x8a]
+0x01,0x7c,0x05,0x8a
+
+# CHECK: s_orn2_b32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x05,0x8a]
+0x01,0x7e,0x05,0x8a
+
+# CHECK: s_orn2_b32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x05,0x8a]
+0x01,0x7f,0x05,0x8a
+
+# CHECK: s_orn2_b32 s5, s1, 0    ; encoding: [0x01,0x80,0x05,0x8a]
+0x01,0x80,0x05,0x8a
+
+# CHECK: s_orn2_b32 s5, s1, -1    ; encoding: [0x01,0xc1,0x05,0x8a]
+0x01,0xc1,0x05,0x8a
+
+# CHECK: s_orn2_b32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x05,0x8a]
+0x01,0xf0,0x05,0x8a
+
+# CHECK: s_orn2_b32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x05,0x8a]
+0x01,0xf7,0x05,0x8a
+
+# CHECK: s_orn2_b32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x05,0x8a,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x05,0x8a,0x56,0x34,0x12,0xaf
+
+# CHECK: s_orn2_b32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x05,0x8a,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x05,0x8a,0x73,0x72,0x71,0x3f
+
+# CHECK: s_orn2_b64 s[10:11], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0x8a,0x8a]
+0x02,0x04,0x8a,0x8a
+
+# CHECK: s_orn2_b64 s[12:13], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0x8c,0x8a]
+0x02,0x04,0x8c,0x8a
+
+# CHECK: s_orn2_b64 s[100:101], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xe4,0x8a]
+0x02,0x04,0xe4,0x8a
+
+# CHECK: s_orn2_b64 flat_scratch, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xe6,0x8a]
+0x02,0x04,0xe6,0x8a
+
+# CHECK: s_orn2_b64 vcc, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xea,0x8a]
+0x02,0x04,0xea,0x8a
+
+# CHECK: s_orn2_b64 exec, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xfe,0x8a]
+0x02,0x04,0xfe,0x8a
+
+# CHECK: s_orn2_b64 s[10:11], s[4:5], s[4:5]    ; encoding: [0x04,0x04,0x8a,0x8a]
+0x04,0x04,0x8a,0x8a
+
+# CHECK: s_orn2_b64 s[10:11], s[100:101], s[4:5]    ; encoding: [0x64,0x04,0x8a,0x8a]
+0x64,0x04,0x8a,0x8a
+
+# CHECK: s_orn2_b64 s[10:11], flat_scratch, s[4:5]    ; encoding: [0x66,0x04,0x8a,0x8a]
+0x66,0x04,0x8a,0x8a
+
+# CHECK: s_orn2_b64 s[10:11], vcc, s[4:5]    ; encoding: [0x6a,0x04,0x8a,0x8a]
+0x6a,0x04,0x8a,0x8a
+
+# CHECK: s_orn2_b64 s[10:11], exec, s[4:5]    ; encoding: [0x7e,0x04,0x8a,0x8a]
+0x7e,0x04,0x8a,0x8a
+
+# CHECK: s_orn2_b64 s[10:11], 0, s[4:5]    ; encoding: [0x80,0x04,0x8a,0x8a]
+0x80,0x04,0x8a,0x8a
+
+# CHECK: s_orn2_b64 s[10:11], -1, s[4:5]    ; encoding: [0xc1,0x04,0x8a,0x8a]
+0xc1,0x04,0x8a,0x8a
+
+# CHECK: s_orn2_b64 s[10:11], 0.5, s[4:5]    ; encoding: [0xf0,0x04,0x8a,0x8a]
+0xf0,0x04,0x8a,0x8a
+
+# CHECK: s_orn2_b64 s[10:11], -4.0, s[4:5]    ; encoding: [0xf7,0x04,0x8a,0x8a]
+0xf7,0x04,0x8a,0x8a
+
+# CHECK: s_orn2_b64 s[10:11], 0xaf123456, s[4:5]    ; encoding: [0xff,0x04,0x8a,0x8a,0x56,0x34,0x12,0xaf]
+0xff,0x04,0x8a,0x8a,0x56,0x34,0x12,0xaf
+
+# CHECK: s_orn2_b64 s[10:11], 0x3f717273, s[4:5]    ; encoding: [0xff,0x04,0x8a,0x8a,0x73,0x72,0x71,0x3f]
+0xff,0x04,0x8a,0x8a,0x73,0x72,0x71,0x3f
+
+# CHECK: s_orn2_b64 s[10:11], s[2:3], s[6:7]    ; encoding: [0x02,0x06,0x8a,0x8a]
+0x02,0x06,0x8a,0x8a
+
+# CHECK: s_orn2_b64 s[10:11], s[2:3], s[100:101]    ; encoding: [0x02,0x64,0x8a,0x8a]
+0x02,0x64,0x8a,0x8a
+
+# CHECK: s_orn2_b64 s[10:11], s[2:3], flat_scratch    ; encoding: [0x02,0x66,0x8a,0x8a]
+0x02,0x66,0x8a,0x8a
+
+# CHECK: s_orn2_b64 s[10:11], s[2:3], vcc    ; encoding: [0x02,0x6a,0x8a,0x8a]
+0x02,0x6a,0x8a,0x8a
+
+# CHECK: s_orn2_b64 s[10:11], s[2:3], exec    ; encoding: [0x02,0x7e,0x8a,0x8a]
+0x02,0x7e,0x8a,0x8a
+
+# CHECK: s_orn2_b64 s[10:11], s[2:3], 0    ; encoding: [0x02,0x80,0x8a,0x8a]
+0x02,0x80,0x8a,0x8a
+
+# CHECK: s_orn2_b64 s[10:11], s[2:3], -1    ; encoding: [0x02,0xc1,0x8a,0x8a]
+0x02,0xc1,0x8a,0x8a
+
+# CHECK: s_orn2_b64 s[10:11], s[2:3], 0.5    ; encoding: [0x02,0xf0,0x8a,0x8a]
+0x02,0xf0,0x8a,0x8a
+
+# CHECK: s_orn2_b64 s[10:11], s[2:3], -4.0    ; encoding: [0x02,0xf7,0x8a,0x8a]
+0x02,0xf7,0x8a,0x8a
+
+# CHECK: s_orn2_b64 s[10:11], s[2:3], 0xaf123456    ; encoding: [0x02,0xff,0x8a,0x8a,0x56,0x34,0x12,0xaf]
+0x02,0xff,0x8a,0x8a,0x56,0x34,0x12,0xaf
+
+# CHECK: s_orn2_b64 s[10:11], s[2:3], 0x3f717273    ; encoding: [0x02,0xff,0x8a,0x8a,0x73,0x72,0x71,0x3f]
+0x02,0xff,0x8a,0x8a,0x73,0x72,0x71,0x3f
+
+# CHECK: s_nand_b32 s5, s1, s2    ; encoding: [0x01,0x02,0x05,0x8b]
+0x01,0x02,0x05,0x8b
+
+# CHECK: s_nand_b32 s101, s1, s2    ; encoding: [0x01,0x02,0x65,0x8b]
+0x01,0x02,0x65,0x8b
+
+# CHECK: s_nand_b32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0x66,0x8b]
+0x01,0x02,0x66,0x8b
+
+# CHECK: s_nand_b32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0x67,0x8b]
+0x01,0x02,0x67,0x8b
+
+# CHECK: s_nand_b32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0x6a,0x8b]
+0x01,0x02,0x6a,0x8b
+
+# CHECK: s_nand_b32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0x6b,0x8b]
+0x01,0x02,0x6b,0x8b
+
+# CHECK: s_nand_b32 m0, s1, s2    ; encoding: [0x01,0x02,0x7c,0x8b]
+0x01,0x02,0x7c,0x8b
+
+# CHECK: s_nand_b32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0x7e,0x8b]
+0x01,0x02,0x7e,0x8b
+
+# CHECK: s_nand_b32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0x7f,0x8b]
+0x01,0x02,0x7f,0x8b
+
+# CHECK: s_nand_b32 s5, s101, s2    ; encoding: [0x65,0x02,0x05,0x8b]
+0x65,0x02,0x05,0x8b
+
+# CHECK: s_nand_b32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x05,0x8b]
+0x66,0x02,0x05,0x8b
+
+# CHECK: s_nand_b32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x05,0x8b]
+0x67,0x02,0x05,0x8b
+
+# CHECK: s_nand_b32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x05,0x8b]
+0x6a,0x02,0x05,0x8b
+
+# CHECK: s_nand_b32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x05,0x8b]
+0x6b,0x02,0x05,0x8b
+
+# CHECK: s_nand_b32 s5, m0, s2    ; encoding: [0x7c,0x02,0x05,0x8b]
+0x7c,0x02,0x05,0x8b
+
+# CHECK: s_nand_b32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x05,0x8b]
+0x7e,0x02,0x05,0x8b
+
+# CHECK: s_nand_b32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x05,0x8b]
+0x7f,0x02,0x05,0x8b
+
+# CHECK: s_nand_b32 s5, 0, s2    ; encoding: [0x80,0x02,0x05,0x8b]
+0x80,0x02,0x05,0x8b
+
+# CHECK: s_nand_b32 s5, -1, s2    ; encoding: [0xc1,0x02,0x05,0x8b]
+0xc1,0x02,0x05,0x8b
+
+# CHECK: s_nand_b32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x05,0x8b]
+0xf0,0x02,0x05,0x8b
+
+# CHECK: s_nand_b32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x05,0x8b]
+0xf7,0x02,0x05,0x8b
+
+# CHECK: s_nand_b32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x05,0x8b,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x05,0x8b,0x56,0x34,0x12,0xaf
+
+# CHECK: s_nand_b32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x05,0x8b,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x05,0x8b,0x73,0x72,0x71,0x3f
+
+# CHECK: s_nand_b32 s5, s1, s101    ; encoding: [0x01,0x65,0x05,0x8b]
+0x01,0x65,0x05,0x8b
+
+# CHECK: s_nand_b32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x05,0x8b]
+0x01,0x66,0x05,0x8b
+
+# CHECK: s_nand_b32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x05,0x8b]
+0x01,0x67,0x05,0x8b
+
+# CHECK: s_nand_b32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x05,0x8b]
+0x01,0x6a,0x05,0x8b
+
+# CHECK: s_nand_b32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x05,0x8b]
+0x01,0x6b,0x05,0x8b
+
+# CHECK: s_nand_b32 s5, s1, m0    ; encoding: [0x01,0x7c,0x05,0x8b]
+0x01,0x7c,0x05,0x8b
+
+# CHECK: s_nand_b32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x05,0x8b]
+0x01,0x7e,0x05,0x8b
+
+# CHECK: s_nand_b32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x05,0x8b]
+0x01,0x7f,0x05,0x8b
+
+# CHECK: s_nand_b32 s5, s1, 0    ; encoding: [0x01,0x80,0x05,0x8b]
+0x01,0x80,0x05,0x8b
+
+# CHECK: s_nand_b32 s5, s1, -1    ; encoding: [0x01,0xc1,0x05,0x8b]
+0x01,0xc1,0x05,0x8b
+
+# CHECK: s_nand_b32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x05,0x8b]
+0x01,0xf0,0x05,0x8b
+
+# CHECK: s_nand_b32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x05,0x8b]
+0x01,0xf7,0x05,0x8b
+
+# CHECK: s_nand_b32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x05,0x8b,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x05,0x8b,0x56,0x34,0x12,0xaf
+
+# CHECK: s_nand_b32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x05,0x8b,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x05,0x8b,0x73,0x72,0x71,0x3f
+
+# CHECK: s_nand_b64 s[10:11], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0x8a,0x8b]
+0x02,0x04,0x8a,0x8b
+
+# CHECK: s_nand_b64 s[12:13], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0x8c,0x8b]
+0x02,0x04,0x8c,0x8b
+
+# CHECK: s_nand_b64 s[100:101], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xe4,0x8b]
+0x02,0x04,0xe4,0x8b
+
+# CHECK: s_nand_b64 flat_scratch, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xe6,0x8b]
+0x02,0x04,0xe6,0x8b
+
+# CHECK: s_nand_b64 vcc, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xea,0x8b]
+0x02,0x04,0xea,0x8b
+
+# CHECK: s_nand_b64 exec, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xfe,0x8b]
+0x02,0x04,0xfe,0x8b
+
+# CHECK: s_nand_b64 s[10:11], s[4:5], s[4:5]    ; encoding: [0x04,0x04,0x8a,0x8b]
+0x04,0x04,0x8a,0x8b
+
+# CHECK: s_nand_b64 s[10:11], s[100:101], s[4:5]    ; encoding: [0x64,0x04,0x8a,0x8b]
+0x64,0x04,0x8a,0x8b
+
+# CHECK: s_nand_b64 s[10:11], flat_scratch, s[4:5]    ; encoding: [0x66,0x04,0x8a,0x8b]
+0x66,0x04,0x8a,0x8b
+
+# CHECK: s_nand_b64 s[10:11], vcc, s[4:5]    ; encoding: [0x6a,0x04,0x8a,0x8b]
+0x6a,0x04,0x8a,0x8b
+
+# CHECK: s_nand_b64 s[10:11], exec, s[4:5]    ; encoding: [0x7e,0x04,0x8a,0x8b]
+0x7e,0x04,0x8a,0x8b
+
+# CHECK: s_nand_b64 s[10:11], 0, s[4:5]    ; encoding: [0x80,0x04,0x8a,0x8b]
+0x80,0x04,0x8a,0x8b
+
+# CHECK: s_nand_b64 s[10:11], -1, s[4:5]    ; encoding: [0xc1,0x04,0x8a,0x8b]
+0xc1,0x04,0x8a,0x8b
+
+# CHECK: s_nand_b64 s[10:11], 0.5, s[4:5]    ; encoding: [0xf0,0x04,0x8a,0x8b]
+0xf0,0x04,0x8a,0x8b
+
+# CHECK: s_nand_b64 s[10:11], -4.0, s[4:5]    ; encoding: [0xf7,0x04,0x8a,0x8b]
+0xf7,0x04,0x8a,0x8b
+
+# CHECK: s_nand_b64 s[10:11], 0xaf123456, s[4:5]    ; encoding: [0xff,0x04,0x8a,0x8b,0x56,0x34,0x12,0xaf]
+0xff,0x04,0x8a,0x8b,0x56,0x34,0x12,0xaf
+
+# CHECK: s_nand_b64 s[10:11], 0x3f717273, s[4:5]    ; encoding: [0xff,0x04,0x8a,0x8b,0x73,0x72,0x71,0x3f]
+0xff,0x04,0x8a,0x8b,0x73,0x72,0x71,0x3f
+
+# CHECK: s_nand_b64 s[10:11], s[2:3], s[6:7]    ; encoding: [0x02,0x06,0x8a,0x8b]
+0x02,0x06,0x8a,0x8b
+
+# CHECK: s_nand_b64 s[10:11], s[2:3], s[100:101]    ; encoding: [0x02,0x64,0x8a,0x8b]
+0x02,0x64,0x8a,0x8b
+
+# CHECK: s_nand_b64 s[10:11], s[2:3], flat_scratch    ; encoding: [0x02,0x66,0x8a,0x8b]
+0x02,0x66,0x8a,0x8b
+
+# CHECK: s_nand_b64 s[10:11], s[2:3], vcc    ; encoding: [0x02,0x6a,0x8a,0x8b]
+0x02,0x6a,0x8a,0x8b
+
+# CHECK: s_nand_b64 s[10:11], s[2:3], exec    ; encoding: [0x02,0x7e,0x8a,0x8b]
+0x02,0x7e,0x8a,0x8b
+
+# CHECK: s_nand_b64 s[10:11], s[2:3], 0    ; encoding: [0x02,0x80,0x8a,0x8b]
+0x02,0x80,0x8a,0x8b
+
+# CHECK: s_nand_b64 s[10:11], s[2:3], -1    ; encoding: [0x02,0xc1,0x8a,0x8b]
+0x02,0xc1,0x8a,0x8b
+
+# CHECK: s_nand_b64 s[10:11], s[2:3], 0.5    ; encoding: [0x02,0xf0,0x8a,0x8b]
+0x02,0xf0,0x8a,0x8b
+
+# CHECK: s_nand_b64 s[10:11], s[2:3], -4.0    ; encoding: [0x02,0xf7,0x8a,0x8b]
+0x02,0xf7,0x8a,0x8b
+
+# CHECK: s_nand_b64 s[10:11], s[2:3], 0xaf123456    ; encoding: [0x02,0xff,0x8a,0x8b,0x56,0x34,0x12,0xaf]
+0x02,0xff,0x8a,0x8b,0x56,0x34,0x12,0xaf
+
+# CHECK: s_nand_b64 s[10:11], s[2:3], 0x3f717273    ; encoding: [0x02,0xff,0x8a,0x8b,0x73,0x72,0x71,0x3f]
+0x02,0xff,0x8a,0x8b,0x73,0x72,0x71,0x3f
+
+# CHECK: s_nor_b32 s5, s1, s2    ; encoding: [0x01,0x02,0x05,0x8c]
+0x01,0x02,0x05,0x8c
+
+# CHECK: s_nor_b32 s101, s1, s2    ; encoding: [0x01,0x02,0x65,0x8c]
+0x01,0x02,0x65,0x8c
+
+# CHECK: s_nor_b32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0x66,0x8c]
+0x01,0x02,0x66,0x8c
+
+# CHECK: s_nor_b32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0x67,0x8c]
+0x01,0x02,0x67,0x8c
+
+# CHECK: s_nor_b32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0x6a,0x8c]
+0x01,0x02,0x6a,0x8c
+
+# CHECK: s_nor_b32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0x6b,0x8c]
+0x01,0x02,0x6b,0x8c
+
+# CHECK: s_nor_b32 m0, s1, s2    ; encoding: [0x01,0x02,0x7c,0x8c]
+0x01,0x02,0x7c,0x8c
+
+# CHECK: s_nor_b32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0x7e,0x8c]
+0x01,0x02,0x7e,0x8c
+
+# CHECK: s_nor_b32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0x7f,0x8c]
+0x01,0x02,0x7f,0x8c
+
+# CHECK: s_nor_b32 s5, s101, s2    ; encoding: [0x65,0x02,0x05,0x8c]
+0x65,0x02,0x05,0x8c
+
+# CHECK: s_nor_b32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x05,0x8c]
+0x66,0x02,0x05,0x8c
+
+# CHECK: s_nor_b32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x05,0x8c]
+0x67,0x02,0x05,0x8c
+
+# CHECK: s_nor_b32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x05,0x8c]
+0x6a,0x02,0x05,0x8c
+
+# CHECK: s_nor_b32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x05,0x8c]
+0x6b,0x02,0x05,0x8c
+
+# CHECK: s_nor_b32 s5, m0, s2    ; encoding: [0x7c,0x02,0x05,0x8c]
+0x7c,0x02,0x05,0x8c
+
+# CHECK: s_nor_b32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x05,0x8c]
+0x7e,0x02,0x05,0x8c
+
+# CHECK: s_nor_b32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x05,0x8c]
+0x7f,0x02,0x05,0x8c
+
+# CHECK: s_nor_b32 s5, 0, s2    ; encoding: [0x80,0x02,0x05,0x8c]
+0x80,0x02,0x05,0x8c
+
+# CHECK: s_nor_b32 s5, -1, s2    ; encoding: [0xc1,0x02,0x05,0x8c]
+0xc1,0x02,0x05,0x8c
+
+# CHECK: s_nor_b32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x05,0x8c]
+0xf0,0x02,0x05,0x8c
+
+# CHECK: s_nor_b32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x05,0x8c]
+0xf7,0x02,0x05,0x8c
+
+# CHECK: s_nor_b32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x05,0x8c,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x05,0x8c,0x56,0x34,0x12,0xaf
+
+# CHECK: s_nor_b32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x05,0x8c,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x05,0x8c,0x73,0x72,0x71,0x3f
+
+# CHECK: s_nor_b32 s5, s1, s101    ; encoding: [0x01,0x65,0x05,0x8c]
+0x01,0x65,0x05,0x8c
+
+# CHECK: s_nor_b32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x05,0x8c]
+0x01,0x66,0x05,0x8c
+
+# CHECK: s_nor_b32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x05,0x8c]
+0x01,0x67,0x05,0x8c
+
+# CHECK: s_nor_b32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x05,0x8c]
+0x01,0x6a,0x05,0x8c
+
+# CHECK: s_nor_b32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x05,0x8c]
+0x01,0x6b,0x05,0x8c
+
+# CHECK: s_nor_b32 s5, s1, m0    ; encoding: [0x01,0x7c,0x05,0x8c]
+0x01,0x7c,0x05,0x8c
+
+# CHECK: s_nor_b32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x05,0x8c]
+0x01,0x7e,0x05,0x8c
+
+# CHECK: s_nor_b32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x05,0x8c]
+0x01,0x7f,0x05,0x8c
+
+# CHECK: s_nor_b32 s5, s1, 0    ; encoding: [0x01,0x80,0x05,0x8c]
+0x01,0x80,0x05,0x8c
+
+# CHECK: s_nor_b32 s5, s1, -1    ; encoding: [0x01,0xc1,0x05,0x8c]
+0x01,0xc1,0x05,0x8c
+
+# CHECK: s_nor_b32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x05,0x8c]
+0x01,0xf0,0x05,0x8c
+
+# CHECK: s_nor_b32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x05,0x8c]
+0x01,0xf7,0x05,0x8c
+
+# CHECK: s_nor_b32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x05,0x8c,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x05,0x8c,0x56,0x34,0x12,0xaf
+
+# CHECK: s_nor_b32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x05,0x8c,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x05,0x8c,0x73,0x72,0x71,0x3f
+
+# CHECK: s_nor_b64 s[10:11], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0x8a,0x8c]
+0x02,0x04,0x8a,0x8c
+
+# CHECK: s_nor_b64 s[12:13], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0x8c,0x8c]
+0x02,0x04,0x8c,0x8c
+
+# CHECK: s_nor_b64 s[100:101], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xe4,0x8c]
+0x02,0x04,0xe4,0x8c
+
+# CHECK: s_nor_b64 flat_scratch, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xe6,0x8c]
+0x02,0x04,0xe6,0x8c
+
+# CHECK: s_nor_b64 vcc, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xea,0x8c]
+0x02,0x04,0xea,0x8c
+
+# CHECK: s_nor_b64 exec, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xfe,0x8c]
+0x02,0x04,0xfe,0x8c
+
+# CHECK: s_nor_b64 s[10:11], s[4:5], s[4:5]    ; encoding: [0x04,0x04,0x8a,0x8c]
+0x04,0x04,0x8a,0x8c
+
+# CHECK: s_nor_b64 s[10:11], s[100:101], s[4:5]    ; encoding: [0x64,0x04,0x8a,0x8c]
+0x64,0x04,0x8a,0x8c
+
+# CHECK: s_nor_b64 s[10:11], flat_scratch, s[4:5]    ; encoding: [0x66,0x04,0x8a,0x8c]
+0x66,0x04,0x8a,0x8c
+
+# CHECK: s_nor_b64 s[10:11], vcc, s[4:5]    ; encoding: [0x6a,0x04,0x8a,0x8c]
+0x6a,0x04,0x8a,0x8c
+
+# CHECK: s_nor_b64 s[10:11], exec, s[4:5]    ; encoding: [0x7e,0x04,0x8a,0x8c]
+0x7e,0x04,0x8a,0x8c
+
+# CHECK: s_nor_b64 s[10:11], 0, s[4:5]    ; encoding: [0x80,0x04,0x8a,0x8c]
+0x80,0x04,0x8a,0x8c
+
+# CHECK: s_nor_b64 s[10:11], -1, s[4:5]    ; encoding: [0xc1,0x04,0x8a,0x8c]
+0xc1,0x04,0x8a,0x8c
+
+# CHECK: s_nor_b64 s[10:11], 0.5, s[4:5]    ; encoding: [0xf0,0x04,0x8a,0x8c]
+0xf0,0x04,0x8a,0x8c
+
+# CHECK: s_nor_b64 s[10:11], -4.0, s[4:5]    ; encoding: [0xf7,0x04,0x8a,0x8c]
+0xf7,0x04,0x8a,0x8c
+
+# CHECK: s_nor_b64 s[10:11], 0xaf123456, s[4:5]    ; encoding: [0xff,0x04,0x8a,0x8c,0x56,0x34,0x12,0xaf]
+0xff,0x04,0x8a,0x8c,0x56,0x34,0x12,0xaf
+
+# CHECK: s_nor_b64 s[10:11], 0x3f717273, s[4:5]    ; encoding: [0xff,0x04,0x8a,0x8c,0x73,0x72,0x71,0x3f]
+0xff,0x04,0x8a,0x8c,0x73,0x72,0x71,0x3f
+
+# CHECK: s_nor_b64 s[10:11], s[2:3], s[6:7]    ; encoding: [0x02,0x06,0x8a,0x8c]
+0x02,0x06,0x8a,0x8c
+
+# CHECK: s_nor_b64 s[10:11], s[2:3], s[100:101]    ; encoding: [0x02,0x64,0x8a,0x8c]
+0x02,0x64,0x8a,0x8c
+
+# CHECK: s_nor_b64 s[10:11], s[2:3], flat_scratch    ; encoding: [0x02,0x66,0x8a,0x8c]
+0x02,0x66,0x8a,0x8c
+
+# CHECK: s_nor_b64 s[10:11], s[2:3], vcc    ; encoding: [0x02,0x6a,0x8a,0x8c]
+0x02,0x6a,0x8a,0x8c
+
+# CHECK: s_nor_b64 s[10:11], s[2:3], exec    ; encoding: [0x02,0x7e,0x8a,0x8c]
+0x02,0x7e,0x8a,0x8c
+
+# CHECK: s_nor_b64 s[10:11], s[2:3], 0    ; encoding: [0x02,0x80,0x8a,0x8c]
+0x02,0x80,0x8a,0x8c
+
+# CHECK: s_nor_b64 s[10:11], s[2:3], -1    ; encoding: [0x02,0xc1,0x8a,0x8c]
+0x02,0xc1,0x8a,0x8c
+
+# CHECK: s_nor_b64 s[10:11], s[2:3], 0.5    ; encoding: [0x02,0xf0,0x8a,0x8c]
+0x02,0xf0,0x8a,0x8c
+
+# CHECK: s_nor_b64 s[10:11], s[2:3], -4.0    ; encoding: [0x02,0xf7,0x8a,0x8c]
+0x02,0xf7,0x8a,0x8c
+
+# CHECK: s_nor_b64 s[10:11], s[2:3], 0xaf123456    ; encoding: [0x02,0xff,0x8a,0x8c,0x56,0x34,0x12,0xaf]
+0x02,0xff,0x8a,0x8c,0x56,0x34,0x12,0xaf
+
+# CHECK: s_nor_b64 s[10:11], s[2:3], 0x3f717273    ; encoding: [0x02,0xff,0x8a,0x8c,0x73,0x72,0x71,0x3f]
+0x02,0xff,0x8a,0x8c,0x73,0x72,0x71,0x3f
+
+# CHECK: s_xnor_b32 s5, s1, s2    ; encoding: [0x01,0x02,0x05,0x8d]
+0x01,0x02,0x05,0x8d
+
+# CHECK: s_xnor_b32 s101, s1, s2    ; encoding: [0x01,0x02,0x65,0x8d]
+0x01,0x02,0x65,0x8d
+
+# CHECK: s_xnor_b32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0x66,0x8d]
+0x01,0x02,0x66,0x8d
+
+# CHECK: s_xnor_b32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0x67,0x8d]
+0x01,0x02,0x67,0x8d
+
+# CHECK: s_xnor_b32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0x6a,0x8d]
+0x01,0x02,0x6a,0x8d
+
+# CHECK: s_xnor_b32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0x6b,0x8d]
+0x01,0x02,0x6b,0x8d
+
+# CHECK: s_xnor_b32 m0, s1, s2    ; encoding: [0x01,0x02,0x7c,0x8d]
+0x01,0x02,0x7c,0x8d
+
+# CHECK: s_xnor_b32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0x7e,0x8d]
+0x01,0x02,0x7e,0x8d
+
+# CHECK: s_xnor_b32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0x7f,0x8d]
+0x01,0x02,0x7f,0x8d
+
+# CHECK: s_xnor_b32 s5, s101, s2    ; encoding: [0x65,0x02,0x05,0x8d]
+0x65,0x02,0x05,0x8d
+
+# CHECK: s_xnor_b32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x05,0x8d]
+0x66,0x02,0x05,0x8d
+
+# CHECK: s_xnor_b32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x05,0x8d]
+0x67,0x02,0x05,0x8d
+
+# CHECK: s_xnor_b32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x05,0x8d]
+0x6a,0x02,0x05,0x8d
+
+# CHECK: s_xnor_b32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x05,0x8d]
+0x6b,0x02,0x05,0x8d
+
+# CHECK: s_xnor_b32 s5, m0, s2    ; encoding: [0x7c,0x02,0x05,0x8d]
+0x7c,0x02,0x05,0x8d
+
+# CHECK: s_xnor_b32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x05,0x8d]
+0x7e,0x02,0x05,0x8d
+
+# CHECK: s_xnor_b32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x05,0x8d]
+0x7f,0x02,0x05,0x8d
+
+# CHECK: s_xnor_b32 s5, 0, s2    ; encoding: [0x80,0x02,0x05,0x8d]
+0x80,0x02,0x05,0x8d
+
+# CHECK: s_xnor_b32 s5, -1, s2    ; encoding: [0xc1,0x02,0x05,0x8d]
+0xc1,0x02,0x05,0x8d
+
+# CHECK: s_xnor_b32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x05,0x8d]
+0xf0,0x02,0x05,0x8d
+
+# CHECK: s_xnor_b32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x05,0x8d]
+0xf7,0x02,0x05,0x8d
+
+# CHECK: s_xnor_b32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x05,0x8d,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x05,0x8d,0x56,0x34,0x12,0xaf
+
+# CHECK: s_xnor_b32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x05,0x8d,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x05,0x8d,0x73,0x72,0x71,0x3f
+
+# CHECK: s_xnor_b32 s5, s1, s101    ; encoding: [0x01,0x65,0x05,0x8d]
+0x01,0x65,0x05,0x8d
+
+# CHECK: s_xnor_b32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x05,0x8d]
+0x01,0x66,0x05,0x8d
+
+# CHECK: s_xnor_b32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x05,0x8d]
+0x01,0x67,0x05,0x8d
+
+# CHECK: s_xnor_b32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x05,0x8d]
+0x01,0x6a,0x05,0x8d
+
+# CHECK: s_xnor_b32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x05,0x8d]
+0x01,0x6b,0x05,0x8d
+
+# CHECK: s_xnor_b32 s5, s1, m0    ; encoding: [0x01,0x7c,0x05,0x8d]
+0x01,0x7c,0x05,0x8d
+
+# CHECK: s_xnor_b32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x05,0x8d]
+0x01,0x7e,0x05,0x8d
+
+# CHECK: s_xnor_b32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x05,0x8d]
+0x01,0x7f,0x05,0x8d
+
+# CHECK: s_xnor_b32 s5, s1, 0    ; encoding: [0x01,0x80,0x05,0x8d]
+0x01,0x80,0x05,0x8d
+
+# CHECK: s_xnor_b32 s5, s1, -1    ; encoding: [0x01,0xc1,0x05,0x8d]
+0x01,0xc1,0x05,0x8d
+
+# CHECK: s_xnor_b32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x05,0x8d]
+0x01,0xf0,0x05,0x8d
+
+# CHECK: s_xnor_b32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x05,0x8d]
+0x01,0xf7,0x05,0x8d
+
+# CHECK: s_xnor_b32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x05,0x8d,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x05,0x8d,0x56,0x34,0x12,0xaf
+
+# CHECK: s_xnor_b32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x05,0x8d,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x05,0x8d,0x73,0x72,0x71,0x3f
+
+# CHECK: s_xnor_b64 s[10:11], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0x8a,0x8d]
+0x02,0x04,0x8a,0x8d
+
+# CHECK: s_xnor_b64 s[12:13], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0x8c,0x8d]
+0x02,0x04,0x8c,0x8d
+
+# CHECK: s_xnor_b64 s[100:101], s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xe4,0x8d]
+0x02,0x04,0xe4,0x8d
+
+# CHECK: s_xnor_b64 flat_scratch, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xe6,0x8d]
+0x02,0x04,0xe6,0x8d
+
+# CHECK: s_xnor_b64 vcc, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xea,0x8d]
+0x02,0x04,0xea,0x8d
+
+# CHECK: s_xnor_b64 exec, s[2:3], s[4:5]    ; encoding: [0x02,0x04,0xfe,0x8d]
+0x02,0x04,0xfe,0x8d
+
+# CHECK: s_xnor_b64 s[10:11], s[4:5], s[4:5]    ; encoding: [0x04,0x04,0x8a,0x8d]
+0x04,0x04,0x8a,0x8d
+
+# CHECK: s_xnor_b64 s[10:11], s[100:101], s[4:5]    ; encoding: [0x64,0x04,0x8a,0x8d]
+0x64,0x04,0x8a,0x8d
+
+# CHECK: s_xnor_b64 s[10:11], flat_scratch, s[4:5]    ; encoding: [0x66,0x04,0x8a,0x8d]
+0x66,0x04,0x8a,0x8d
+
+# CHECK: s_xnor_b64 s[10:11], vcc, s[4:5]    ; encoding: [0x6a,0x04,0x8a,0x8d]
+0x6a,0x04,0x8a,0x8d
+
+# CHECK: s_xnor_b64 s[10:11], exec, s[4:5]    ; encoding: [0x7e,0x04,0x8a,0x8d]
+0x7e,0x04,0x8a,0x8d
+
+# CHECK: s_xnor_b64 s[10:11], 0, s[4:5]    ; encoding: [0x80,0x04,0x8a,0x8d]
+0x80,0x04,0x8a,0x8d
+
+# CHECK: s_xnor_b64 s[10:11], -1, s[4:5]    ; encoding: [0xc1,0x04,0x8a,0x8d]
+0xc1,0x04,0x8a,0x8d
+
+# CHECK: s_xnor_b64 s[10:11], 0.5, s[4:5]    ; encoding: [0xf0,0x04,0x8a,0x8d]
+0xf0,0x04,0x8a,0x8d
+
+# CHECK: s_xnor_b64 s[10:11], -4.0, s[4:5]    ; encoding: [0xf7,0x04,0x8a,0x8d]
+0xf7,0x04,0x8a,0x8d
+
+# CHECK: s_xnor_b64 s[10:11], 0xaf123456, s[4:5]    ; encoding: [0xff,0x04,0x8a,0x8d,0x56,0x34,0x12,0xaf]
+0xff,0x04,0x8a,0x8d,0x56,0x34,0x12,0xaf
+
+# CHECK: s_xnor_b64 s[10:11], 0x3f717273, s[4:5]    ; encoding: [0xff,0x04,0x8a,0x8d,0x73,0x72,0x71,0x3f]
+0xff,0x04,0x8a,0x8d,0x73,0x72,0x71,0x3f
+
+# CHECK: s_xnor_b64 s[10:11], s[2:3], s[6:7]    ; encoding: [0x02,0x06,0x8a,0x8d]
+0x02,0x06,0x8a,0x8d
+
+# CHECK: s_xnor_b64 s[10:11], s[2:3], s[100:101]    ; encoding: [0x02,0x64,0x8a,0x8d]
+0x02,0x64,0x8a,0x8d
+
+# CHECK: s_xnor_b64 s[10:11], s[2:3], flat_scratch    ; encoding: [0x02,0x66,0x8a,0x8d]
+0x02,0x66,0x8a,0x8d
+
+# CHECK: s_xnor_b64 s[10:11], s[2:3], vcc    ; encoding: [0x02,0x6a,0x8a,0x8d]
+0x02,0x6a,0x8a,0x8d
+
+# CHECK: s_xnor_b64 s[10:11], s[2:3], exec    ; encoding: [0x02,0x7e,0x8a,0x8d]
+0x02,0x7e,0x8a,0x8d
+
+# CHECK: s_xnor_b64 s[10:11], s[2:3], 0    ; encoding: [0x02,0x80,0x8a,0x8d]
+0x02,0x80,0x8a,0x8d
+
+# CHECK: s_xnor_b64 s[10:11], s[2:3], -1    ; encoding: [0x02,0xc1,0x8a,0x8d]
+0x02,0xc1,0x8a,0x8d
+
+# CHECK: s_xnor_b64 s[10:11], s[2:3], 0.5    ; encoding: [0x02,0xf0,0x8a,0x8d]
+0x02,0xf0,0x8a,0x8d
+
+# CHECK: s_xnor_b64 s[10:11], s[2:3], -4.0    ; encoding: [0x02,0xf7,0x8a,0x8d]
+0x02,0xf7,0x8a,0x8d
+
+# CHECK: s_xnor_b64 s[10:11], s[2:3], 0xaf123456    ; encoding: [0x02,0xff,0x8a,0x8d,0x56,0x34,0x12,0xaf]
+0x02,0xff,0x8a,0x8d,0x56,0x34,0x12,0xaf
+
+# CHECK: s_xnor_b64 s[10:11], s[2:3], 0x3f717273    ; encoding: [0x02,0xff,0x8a,0x8d,0x73,0x72,0x71,0x3f]
+0x02,0xff,0x8a,0x8d,0x73,0x72,0x71,0x3f
+
+# CHECK: s_lshl_b32 s5, s1, s2    ; encoding: [0x01,0x02,0x05,0x8e]
+0x01,0x02,0x05,0x8e
+
+# CHECK: s_lshl_b32 s101, s1, s2    ; encoding: [0x01,0x02,0x65,0x8e]
+0x01,0x02,0x65,0x8e
+
+# CHECK: s_lshl_b32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0x66,0x8e]
+0x01,0x02,0x66,0x8e
+
+# CHECK: s_lshl_b32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0x67,0x8e]
+0x01,0x02,0x67,0x8e
+
+# CHECK: s_lshl_b32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0x6a,0x8e]
+0x01,0x02,0x6a,0x8e
+
+# CHECK: s_lshl_b32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0x6b,0x8e]
+0x01,0x02,0x6b,0x8e
+
+# CHECK: s_lshl_b32 m0, s1, s2    ; encoding: [0x01,0x02,0x7c,0x8e]
+0x01,0x02,0x7c,0x8e
+
+# CHECK: s_lshl_b32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0x7e,0x8e]
+0x01,0x02,0x7e,0x8e
+
+# CHECK: s_lshl_b32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0x7f,0x8e]
+0x01,0x02,0x7f,0x8e
+
+# CHECK: s_lshl_b32 s5, s101, s2    ; encoding: [0x65,0x02,0x05,0x8e]
+0x65,0x02,0x05,0x8e
+
+# CHECK: s_lshl_b32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x05,0x8e]
+0x66,0x02,0x05,0x8e
+
+# CHECK: s_lshl_b32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x05,0x8e]
+0x67,0x02,0x05,0x8e
+
+# CHECK: s_lshl_b32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x05,0x8e]
+0x6a,0x02,0x05,0x8e
+
+# CHECK: s_lshl_b32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x05,0x8e]
+0x6b,0x02,0x05,0x8e
+
+# CHECK: s_lshl_b32 s5, m0, s2    ; encoding: [0x7c,0x02,0x05,0x8e]
+0x7c,0x02,0x05,0x8e
+
+# CHECK: s_lshl_b32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x05,0x8e]
+0x7e,0x02,0x05,0x8e
+
+# CHECK: s_lshl_b32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x05,0x8e]
+0x7f,0x02,0x05,0x8e
+
+# CHECK: s_lshl_b32 s5, 0, s2    ; encoding: [0x80,0x02,0x05,0x8e]
+0x80,0x02,0x05,0x8e
+
+# CHECK: s_lshl_b32 s5, -1, s2    ; encoding: [0xc1,0x02,0x05,0x8e]
+0xc1,0x02,0x05,0x8e
+
+# CHECK: s_lshl_b32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x05,0x8e]
+0xf0,0x02,0x05,0x8e
+
+# CHECK: s_lshl_b32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x05,0x8e]
+0xf7,0x02,0x05,0x8e
+
+# CHECK: s_lshl_b32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x05,0x8e,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x05,0x8e,0x56,0x34,0x12,0xaf
+
+# CHECK: s_lshl_b32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x05,0x8e,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x05,0x8e,0x73,0x72,0x71,0x3f
+
+# CHECK: s_lshl_b32 s5, s1, s101    ; encoding: [0x01,0x65,0x05,0x8e]
+0x01,0x65,0x05,0x8e
+
+# CHECK: s_lshl_b32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x05,0x8e]
+0x01,0x66,0x05,0x8e
+
+# CHECK: s_lshl_b32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x05,0x8e]
+0x01,0x67,0x05,0x8e
+
+# CHECK: s_lshl_b32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x05,0x8e]
+0x01,0x6a,0x05,0x8e
+
+# CHECK: s_lshl_b32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x05,0x8e]
+0x01,0x6b,0x05,0x8e
+
+# CHECK: s_lshl_b32 s5, s1, m0    ; encoding: [0x01,0x7c,0x05,0x8e]
+0x01,0x7c,0x05,0x8e
+
+# CHECK: s_lshl_b32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x05,0x8e]
+0x01,0x7e,0x05,0x8e
+
+# CHECK: s_lshl_b32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x05,0x8e]
+0x01,0x7f,0x05,0x8e
+
+# CHECK: s_lshl_b32 s5, s1, 0    ; encoding: [0x01,0x80,0x05,0x8e]
+0x01,0x80,0x05,0x8e
+
+# CHECK: s_lshl_b32 s5, s1, -1    ; encoding: [0x01,0xc1,0x05,0x8e]
+0x01,0xc1,0x05,0x8e
+
+# CHECK: s_lshl_b32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x05,0x8e]
+0x01,0xf0,0x05,0x8e
+
+# CHECK: s_lshl_b32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x05,0x8e]
+0x01,0xf7,0x05,0x8e
+
+# CHECK: s_lshl_b32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x05,0x8e,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x05,0x8e,0x56,0x34,0x12,0xaf
+
+# CHECK: s_lshl_b32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x05,0x8e,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x05,0x8e,0x73,0x72,0x71,0x3f
+
+# CHECK: s_lshl_b64 s[10:11], s[2:3], s2    ; encoding: [0x02,0x02,0x8a,0x8e]
+0x02,0x02,0x8a,0x8e
+
+# CHECK: s_lshl_b64 s[12:13], s[2:3], s2    ; encoding: [0x02,0x02,0x8c,0x8e]
+0x02,0x02,0x8c,0x8e
+
+# CHECK: s_lshl_b64 s[100:101], s[2:3], s2    ; encoding: [0x02,0x02,0xe4,0x8e]
+0x02,0x02,0xe4,0x8e
+
+# CHECK: s_lshl_b64 flat_scratch, s[2:3], s2    ; encoding: [0x02,0x02,0xe6,0x8e]
+0x02,0x02,0xe6,0x8e
+
+# CHECK: s_lshl_b64 vcc, s[2:3], s2    ; encoding: [0x02,0x02,0xea,0x8e]
+0x02,0x02,0xea,0x8e
+
+# CHECK: s_lshl_b64 exec, s[2:3], s2    ; encoding: [0x02,0x02,0xfe,0x8e]
+0x02,0x02,0xfe,0x8e
+
+# CHECK: s_lshl_b64 s[10:11], s[4:5], s2    ; encoding: [0x04,0x02,0x8a,0x8e]
+0x04,0x02,0x8a,0x8e
+
+# CHECK: s_lshl_b64 s[10:11], s[100:101], s2    ; encoding: [0x64,0x02,0x8a,0x8e]
+0x64,0x02,0x8a,0x8e
+
+# CHECK: s_lshl_b64 s[10:11], flat_scratch, s2    ; encoding: [0x66,0x02,0x8a,0x8e]
+0x66,0x02,0x8a,0x8e
+
+# CHECK: s_lshl_b64 s[10:11], vcc, s2    ; encoding: [0x6a,0x02,0x8a,0x8e]
+0x6a,0x02,0x8a,0x8e
+
+# CHECK: s_lshl_b64 s[10:11], exec, s2    ; encoding: [0x7e,0x02,0x8a,0x8e]
+0x7e,0x02,0x8a,0x8e
+
+# CHECK: s_lshl_b64 s[10:11], 0, s2    ; encoding: [0x80,0x02,0x8a,0x8e]
+0x80,0x02,0x8a,0x8e
+
+# CHECK: s_lshl_b64 s[10:11], -1, s2    ; encoding: [0xc1,0x02,0x8a,0x8e]
+0xc1,0x02,0x8a,0x8e
+
+# CHECK: s_lshl_b64 s[10:11], 0.5, s2    ; encoding: [0xf0,0x02,0x8a,0x8e]
+0xf0,0x02,0x8a,0x8e
+
+# CHECK: s_lshl_b64 s[10:11], -4.0, s2    ; encoding: [0xf7,0x02,0x8a,0x8e]
+0xf7,0x02,0x8a,0x8e
+
+# CHECK: s_lshl_b64 s[10:11], 0xaf123456, s2    ; encoding: [0xff,0x02,0x8a,0x8e,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x8a,0x8e,0x56,0x34,0x12,0xaf
+
+# CHECK: s_lshl_b64 s[10:11], 0x3f717273, s2    ; encoding: [0xff,0x02,0x8a,0x8e,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x8a,0x8e,0x73,0x72,0x71,0x3f
+
+# CHECK: s_lshl_b64 s[10:11], s[2:3], s101    ; encoding: [0x02,0x65,0x8a,0x8e]
+0x02,0x65,0x8a,0x8e
+
+# CHECK: s_lshl_b64 s[10:11], s[2:3], flat_scratch_lo    ; encoding: [0x02,0x66,0x8a,0x8e]
+0x02,0x66,0x8a,0x8e
+
+# CHECK: s_lshl_b64 s[10:11], s[2:3], flat_scratch_hi    ; encoding: [0x02,0x67,0x8a,0x8e]
+0x02,0x67,0x8a,0x8e
+
+# CHECK: s_lshl_b64 s[10:11], s[2:3], vcc_lo    ; encoding: [0x02,0x6a,0x8a,0x8e]
+0x02,0x6a,0x8a,0x8e
+
+# CHECK: s_lshl_b64 s[10:11], s[2:3], vcc_hi    ; encoding: [0x02,0x6b,0x8a,0x8e]
+0x02,0x6b,0x8a,0x8e
+
+# CHECK: s_lshl_b64 s[10:11], s[2:3], m0    ; encoding: [0x02,0x7c,0x8a,0x8e]
+0x02,0x7c,0x8a,0x8e
+
+# CHECK: s_lshl_b64 s[10:11], s[2:3], exec_lo    ; encoding: [0x02,0x7e,0x8a,0x8e]
+0x02,0x7e,0x8a,0x8e
+
+# CHECK: s_lshl_b64 s[10:11], s[2:3], exec_hi    ; encoding: [0x02,0x7f,0x8a,0x8e]
+0x02,0x7f,0x8a,0x8e
+
+# CHECK: s_lshl_b64 s[10:11], s[2:3], 0    ; encoding: [0x02,0x80,0x8a,0x8e]
+0x02,0x80,0x8a,0x8e
+
+# CHECK: s_lshl_b64 s[10:11], s[2:3], -1    ; encoding: [0x02,0xc1,0x8a,0x8e]
+0x02,0xc1,0x8a,0x8e
+
+# CHECK: s_lshl_b64 s[10:11], s[2:3], 0.5    ; encoding: [0x02,0xf0,0x8a,0x8e]
+0x02,0xf0,0x8a,0x8e
+
+# CHECK: s_lshl_b64 s[10:11], s[2:3], -4.0    ; encoding: [0x02,0xf7,0x8a,0x8e]
+0x02,0xf7,0x8a,0x8e
+
+# CHECK: s_lshl_b64 s[10:11], s[2:3], 0xaf123456    ; encoding: [0x02,0xff,0x8a,0x8e,0x56,0x34,0x12,0xaf]
+0x02,0xff,0x8a,0x8e,0x56,0x34,0x12,0xaf
+
+# CHECK: s_lshl_b64 s[10:11], s[2:3], 0x3f717273    ; encoding: [0x02,0xff,0x8a,0x8e,0x73,0x72,0x71,0x3f]
+0x02,0xff,0x8a,0x8e,0x73,0x72,0x71,0x3f
+
+# CHECK: s_lshr_b32 s5, s1, s2    ; encoding: [0x01,0x02,0x05,0x8f]
+0x01,0x02,0x05,0x8f
+
+# CHECK: s_lshr_b32 s101, s1, s2    ; encoding: [0x01,0x02,0x65,0x8f]
+0x01,0x02,0x65,0x8f
+
+# CHECK: s_lshr_b32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0x66,0x8f]
+0x01,0x02,0x66,0x8f
+
+# CHECK: s_lshr_b32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0x67,0x8f]
+0x01,0x02,0x67,0x8f
+
+# CHECK: s_lshr_b32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0x6a,0x8f]
+0x01,0x02,0x6a,0x8f
+
+# CHECK: s_lshr_b32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0x6b,0x8f]
+0x01,0x02,0x6b,0x8f
+
+# CHECK: s_lshr_b32 m0, s1, s2    ; encoding: [0x01,0x02,0x7c,0x8f]
+0x01,0x02,0x7c,0x8f
+
+# CHECK: s_lshr_b32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0x7e,0x8f]
+0x01,0x02,0x7e,0x8f
+
+# CHECK: s_lshr_b32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0x7f,0x8f]
+0x01,0x02,0x7f,0x8f
+
+# CHECK: s_lshr_b32 s5, s101, s2    ; encoding: [0x65,0x02,0x05,0x8f]
+0x65,0x02,0x05,0x8f
+
+# CHECK: s_lshr_b32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x05,0x8f]
+0x66,0x02,0x05,0x8f
+
+# CHECK: s_lshr_b32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x05,0x8f]
+0x67,0x02,0x05,0x8f
+
+# CHECK: s_lshr_b32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x05,0x8f]
+0x6a,0x02,0x05,0x8f
+
+# CHECK: s_lshr_b32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x05,0x8f]
+0x6b,0x02,0x05,0x8f
+
+# CHECK: s_lshr_b32 s5, m0, s2    ; encoding: [0x7c,0x02,0x05,0x8f]
+0x7c,0x02,0x05,0x8f
+
+# CHECK: s_lshr_b32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x05,0x8f]
+0x7e,0x02,0x05,0x8f
+
+# CHECK: s_lshr_b32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x05,0x8f]
+0x7f,0x02,0x05,0x8f
+
+# CHECK: s_lshr_b32 s5, 0, s2    ; encoding: [0x80,0x02,0x05,0x8f]
+0x80,0x02,0x05,0x8f
+
+# CHECK: s_lshr_b32 s5, -1, s2    ; encoding: [0xc1,0x02,0x05,0x8f]
+0xc1,0x02,0x05,0x8f
+
+# CHECK: s_lshr_b32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x05,0x8f]
+0xf0,0x02,0x05,0x8f
+
+# CHECK: s_lshr_b32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x05,0x8f]
+0xf7,0x02,0x05,0x8f
+
+# CHECK: s_lshr_b32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x05,0x8f,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x05,0x8f,0x56,0x34,0x12,0xaf
+
+# CHECK: s_lshr_b32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x05,0x8f,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x05,0x8f,0x73,0x72,0x71,0x3f
+
+# CHECK: s_lshr_b32 s5, s1, s101    ; encoding: [0x01,0x65,0x05,0x8f]
+0x01,0x65,0x05,0x8f
+
+# CHECK: s_lshr_b32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x05,0x8f]
+0x01,0x66,0x05,0x8f
+
+# CHECK: s_lshr_b32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x05,0x8f]
+0x01,0x67,0x05,0x8f
+
+# CHECK: s_lshr_b32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x05,0x8f]
+0x01,0x6a,0x05,0x8f
+
+# CHECK: s_lshr_b32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x05,0x8f]
+0x01,0x6b,0x05,0x8f
+
+# CHECK: s_lshr_b32 s5, s1, m0    ; encoding: [0x01,0x7c,0x05,0x8f]
+0x01,0x7c,0x05,0x8f
+
+# CHECK: s_lshr_b32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x05,0x8f]
+0x01,0x7e,0x05,0x8f
+
+# CHECK: s_lshr_b32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x05,0x8f]
+0x01,0x7f,0x05,0x8f
+
+# CHECK: s_lshr_b32 s5, s1, 0    ; encoding: [0x01,0x80,0x05,0x8f]
+0x01,0x80,0x05,0x8f
+
+# CHECK: s_lshr_b32 s5, s1, -1    ; encoding: [0x01,0xc1,0x05,0x8f]
+0x01,0xc1,0x05,0x8f
+
+# CHECK: s_lshr_b32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x05,0x8f]
+0x01,0xf0,0x05,0x8f
+
+# CHECK: s_lshr_b32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x05,0x8f]
+0x01,0xf7,0x05,0x8f
+
+# CHECK: s_lshr_b32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x05,0x8f,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x05,0x8f,0x56,0x34,0x12,0xaf
+
+# CHECK: s_lshr_b32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x05,0x8f,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x05,0x8f,0x73,0x72,0x71,0x3f
+
+# CHECK: s_lshr_b64 s[10:11], s[2:3], s2    ; encoding: [0x02,0x02,0x8a,0x8f]
+0x02,0x02,0x8a,0x8f
+
+# CHECK: s_lshr_b64 s[12:13], s[2:3], s2    ; encoding: [0x02,0x02,0x8c,0x8f]
+0x02,0x02,0x8c,0x8f
+
+# CHECK: s_lshr_b64 s[100:101], s[2:3], s2    ; encoding: [0x02,0x02,0xe4,0x8f]
+0x02,0x02,0xe4,0x8f
+
+# CHECK: s_lshr_b64 flat_scratch, s[2:3], s2    ; encoding: [0x02,0x02,0xe6,0x8f]
+0x02,0x02,0xe6,0x8f
+
+# CHECK: s_lshr_b64 vcc, s[2:3], s2    ; encoding: [0x02,0x02,0xea,0x8f]
+0x02,0x02,0xea,0x8f
+
+# CHECK: s_lshr_b64 exec, s[2:3], s2    ; encoding: [0x02,0x02,0xfe,0x8f]
+0x02,0x02,0xfe,0x8f
+
+# CHECK: s_lshr_b64 s[10:11], s[4:5], s2    ; encoding: [0x04,0x02,0x8a,0x8f]
+0x04,0x02,0x8a,0x8f
+
+# CHECK: s_lshr_b64 s[10:11], s[100:101], s2    ; encoding: [0x64,0x02,0x8a,0x8f]
+0x64,0x02,0x8a,0x8f
+
+# CHECK: s_lshr_b64 s[10:11], flat_scratch, s2    ; encoding: [0x66,0x02,0x8a,0x8f]
+0x66,0x02,0x8a,0x8f
+
+# CHECK: s_lshr_b64 s[10:11], vcc, s2    ; encoding: [0x6a,0x02,0x8a,0x8f]
+0x6a,0x02,0x8a,0x8f
+
+# CHECK: s_lshr_b64 s[10:11], exec, s2    ; encoding: [0x7e,0x02,0x8a,0x8f]
+0x7e,0x02,0x8a,0x8f
+
+# CHECK: s_lshr_b64 s[10:11], 0, s2    ; encoding: [0x80,0x02,0x8a,0x8f]
+0x80,0x02,0x8a,0x8f
+
+# CHECK: s_lshr_b64 s[10:11], -1, s2    ; encoding: [0xc1,0x02,0x8a,0x8f]
+0xc1,0x02,0x8a,0x8f
+
+# CHECK: s_lshr_b64 s[10:11], 0.5, s2    ; encoding: [0xf0,0x02,0x8a,0x8f]
+0xf0,0x02,0x8a,0x8f
+
+# CHECK: s_lshr_b64 s[10:11], -4.0, s2    ; encoding: [0xf7,0x02,0x8a,0x8f]
+0xf7,0x02,0x8a,0x8f
+
+# CHECK: s_lshr_b64 s[10:11], 0xaf123456, s2    ; encoding: [0xff,0x02,0x8a,0x8f,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x8a,0x8f,0x56,0x34,0x12,0xaf
+
+# CHECK: s_lshr_b64 s[10:11], 0x3f717273, s2    ; encoding: [0xff,0x02,0x8a,0x8f,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x8a,0x8f,0x73,0x72,0x71,0x3f
+
+# CHECK: s_lshr_b64 s[10:11], s[2:3], s101    ; encoding: [0x02,0x65,0x8a,0x8f]
+0x02,0x65,0x8a,0x8f
+
+# CHECK: s_lshr_b64 s[10:11], s[2:3], flat_scratch_lo    ; encoding: [0x02,0x66,0x8a,0x8f]
+0x02,0x66,0x8a,0x8f
+
+# CHECK: s_lshr_b64 s[10:11], s[2:3], flat_scratch_hi    ; encoding: [0x02,0x67,0x8a,0x8f]
+0x02,0x67,0x8a,0x8f
+
+# CHECK: s_lshr_b64 s[10:11], s[2:3], vcc_lo    ; encoding: [0x02,0x6a,0x8a,0x8f]
+0x02,0x6a,0x8a,0x8f
+
+# CHECK: s_lshr_b64 s[10:11], s[2:3], vcc_hi    ; encoding: [0x02,0x6b,0x8a,0x8f]
+0x02,0x6b,0x8a,0x8f
+
+# CHECK: s_lshr_b64 s[10:11], s[2:3], m0    ; encoding: [0x02,0x7c,0x8a,0x8f]
+0x02,0x7c,0x8a,0x8f
+
+# CHECK: s_lshr_b64 s[10:11], s[2:3], exec_lo    ; encoding: [0x02,0x7e,0x8a,0x8f]
+0x02,0x7e,0x8a,0x8f
+
+# CHECK: s_lshr_b64 s[10:11], s[2:3], exec_hi    ; encoding: [0x02,0x7f,0x8a,0x8f]
+0x02,0x7f,0x8a,0x8f
+
+# CHECK: s_lshr_b64 s[10:11], s[2:3], 0    ; encoding: [0x02,0x80,0x8a,0x8f]
+0x02,0x80,0x8a,0x8f
+
+# CHECK: s_lshr_b64 s[10:11], s[2:3], -1    ; encoding: [0x02,0xc1,0x8a,0x8f]
+0x02,0xc1,0x8a,0x8f
+
+# CHECK: s_lshr_b64 s[10:11], s[2:3], 0.5    ; encoding: [0x02,0xf0,0x8a,0x8f]
+0x02,0xf0,0x8a,0x8f
+
+# CHECK: s_lshr_b64 s[10:11], s[2:3], -4.0    ; encoding: [0x02,0xf7,0x8a,0x8f]
+0x02,0xf7,0x8a,0x8f
+
+# CHECK: s_lshr_b64 s[10:11], s[2:3], 0xaf123456    ; encoding: [0x02,0xff,0x8a,0x8f,0x56,0x34,0x12,0xaf]
+0x02,0xff,0x8a,0x8f,0x56,0x34,0x12,0xaf
+
+# CHECK: s_lshr_b64 s[10:11], s[2:3], 0x3f717273    ; encoding: [0x02,0xff,0x8a,0x8f,0x73,0x72,0x71,0x3f]
+0x02,0xff,0x8a,0x8f,0x73,0x72,0x71,0x3f
+
+# CHECK: s_ashr_i32 s5, s1, s2    ; encoding: [0x01,0x02,0x05,0x90]
+0x01,0x02,0x05,0x90
+
+# CHECK: s_ashr_i32 s101, s1, s2    ; encoding: [0x01,0x02,0x65,0x90]
+0x01,0x02,0x65,0x90
+
+# CHECK: s_ashr_i32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0x66,0x90]
+0x01,0x02,0x66,0x90
+
+# CHECK: s_ashr_i32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0x67,0x90]
+0x01,0x02,0x67,0x90
+
+# CHECK: s_ashr_i32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0x6a,0x90]
+0x01,0x02,0x6a,0x90
+
+# CHECK: s_ashr_i32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0x6b,0x90]
+0x01,0x02,0x6b,0x90
+
+# CHECK: s_ashr_i32 m0, s1, s2    ; encoding: [0x01,0x02,0x7c,0x90]
+0x01,0x02,0x7c,0x90
+
+# CHECK: s_ashr_i32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0x7e,0x90]
+0x01,0x02,0x7e,0x90
+
+# CHECK: s_ashr_i32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0x7f,0x90]
+0x01,0x02,0x7f,0x90
+
+# CHECK: s_ashr_i32 s5, s101, s2    ; encoding: [0x65,0x02,0x05,0x90]
+0x65,0x02,0x05,0x90
+
+# CHECK: s_ashr_i32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x05,0x90]
+0x66,0x02,0x05,0x90
+
+# CHECK: s_ashr_i32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x05,0x90]
+0x67,0x02,0x05,0x90
+
+# CHECK: s_ashr_i32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x05,0x90]
+0x6a,0x02,0x05,0x90
+
+# CHECK: s_ashr_i32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x05,0x90]
+0x6b,0x02,0x05,0x90
+
+# CHECK: s_ashr_i32 s5, m0, s2    ; encoding: [0x7c,0x02,0x05,0x90]
+0x7c,0x02,0x05,0x90
+
+# CHECK: s_ashr_i32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x05,0x90]
+0x7e,0x02,0x05,0x90
+
+# CHECK: s_ashr_i32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x05,0x90]
+0x7f,0x02,0x05,0x90
+
+# CHECK: s_ashr_i32 s5, 0, s2    ; encoding: [0x80,0x02,0x05,0x90]
+0x80,0x02,0x05,0x90
+
+# CHECK: s_ashr_i32 s5, -1, s2    ; encoding: [0xc1,0x02,0x05,0x90]
+0xc1,0x02,0x05,0x90
+
+# CHECK: s_ashr_i32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x05,0x90]
+0xf0,0x02,0x05,0x90
+
+# CHECK: s_ashr_i32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x05,0x90]
+0xf7,0x02,0x05,0x90
+
+# CHECK: s_ashr_i32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x05,0x90,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x05,0x90,0x56,0x34,0x12,0xaf
+
+# CHECK: s_ashr_i32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x05,0x90,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x05,0x90,0x73,0x72,0x71,0x3f
+
+# CHECK: s_ashr_i32 s5, s1, s101    ; encoding: [0x01,0x65,0x05,0x90]
+0x01,0x65,0x05,0x90
+
+# CHECK: s_ashr_i32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x05,0x90]
+0x01,0x66,0x05,0x90
+
+# CHECK: s_ashr_i32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x05,0x90]
+0x01,0x67,0x05,0x90
+
+# CHECK: s_ashr_i32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x05,0x90]
+0x01,0x6a,0x05,0x90
+
+# CHECK: s_ashr_i32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x05,0x90]
+0x01,0x6b,0x05,0x90
+
+# CHECK: s_ashr_i32 s5, s1, m0    ; encoding: [0x01,0x7c,0x05,0x90]
+0x01,0x7c,0x05,0x90
+
+# CHECK: s_ashr_i32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x05,0x90]
+0x01,0x7e,0x05,0x90
+
+# CHECK: s_ashr_i32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x05,0x90]
+0x01,0x7f,0x05,0x90
+
+# CHECK: s_ashr_i32 s5, s1, 0    ; encoding: [0x01,0x80,0x05,0x90]
+0x01,0x80,0x05,0x90
+
+# CHECK: s_ashr_i32 s5, s1, -1    ; encoding: [0x01,0xc1,0x05,0x90]
+0x01,0xc1,0x05,0x90
+
+# CHECK: s_ashr_i32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x05,0x90]
+0x01,0xf0,0x05,0x90
+
+# CHECK: s_ashr_i32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x05,0x90]
+0x01,0xf7,0x05,0x90
+
+# CHECK: s_ashr_i32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x05,0x90,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x05,0x90,0x56,0x34,0x12,0xaf
+
+# CHECK: s_ashr_i32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x05,0x90,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x05,0x90,0x73,0x72,0x71,0x3f
+
+# CHECK: s_ashr_i64 s[10:11], s[2:3], s2    ; encoding: [0x02,0x02,0x8a,0x90]
+0x02,0x02,0x8a,0x90
+
+# CHECK: s_ashr_i64 s[12:13], s[2:3], s2    ; encoding: [0x02,0x02,0x8c,0x90]
+0x02,0x02,0x8c,0x90
+
+# CHECK: s_ashr_i64 s[100:101], s[2:3], s2    ; encoding: [0x02,0x02,0xe4,0x90]
+0x02,0x02,0xe4,0x90
+
+# CHECK: s_ashr_i64 flat_scratch, s[2:3], s2    ; encoding: [0x02,0x02,0xe6,0x90]
+0x02,0x02,0xe6,0x90
+
+# CHECK: s_ashr_i64 vcc, s[2:3], s2    ; encoding: [0x02,0x02,0xea,0x90]
+0x02,0x02,0xea,0x90
+
+# CHECK: s_ashr_i64 exec, s[2:3], s2    ; encoding: [0x02,0x02,0xfe,0x90]
+0x02,0x02,0xfe,0x90
+
+# CHECK: s_ashr_i64 s[10:11], s[4:5], s2    ; encoding: [0x04,0x02,0x8a,0x90]
+0x04,0x02,0x8a,0x90
+
+# CHECK: s_ashr_i64 s[10:11], s[100:101], s2    ; encoding: [0x64,0x02,0x8a,0x90]
+0x64,0x02,0x8a,0x90
+
+# CHECK: s_ashr_i64 s[10:11], flat_scratch, s2    ; encoding: [0x66,0x02,0x8a,0x90]
+0x66,0x02,0x8a,0x90
+
+# CHECK: s_ashr_i64 s[10:11], vcc, s2    ; encoding: [0x6a,0x02,0x8a,0x90]
+0x6a,0x02,0x8a,0x90
+
+# CHECK: s_ashr_i64 s[10:11], exec, s2    ; encoding: [0x7e,0x02,0x8a,0x90]
+0x7e,0x02,0x8a,0x90
+
+# CHECK: s_ashr_i64 s[10:11], 0, s2    ; encoding: [0x80,0x02,0x8a,0x90]
+0x80,0x02,0x8a,0x90
+
+# CHECK: s_ashr_i64 s[10:11], -1, s2    ; encoding: [0xc1,0x02,0x8a,0x90]
+0xc1,0x02,0x8a,0x90
+
+# CHECK: s_ashr_i64 s[10:11], 0.5, s2    ; encoding: [0xf0,0x02,0x8a,0x90]
+0xf0,0x02,0x8a,0x90
+
+# CHECK: s_ashr_i64 s[10:11], -4.0, s2    ; encoding: [0xf7,0x02,0x8a,0x90]
+0xf7,0x02,0x8a,0x90
+
+# CHECK: s_ashr_i64 s[10:11], 0xaf123456, s2    ; encoding: [0xff,0x02,0x8a,0x90,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x8a,0x90,0x56,0x34,0x12,0xaf
+
+# CHECK: s_ashr_i64 s[10:11], 0x3f717273, s2    ; encoding: [0xff,0x02,0x8a,0x90,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x8a,0x90,0x73,0x72,0x71,0x3f
+
+# CHECK: s_ashr_i64 s[10:11], s[2:3], s101    ; encoding: [0x02,0x65,0x8a,0x90]
+0x02,0x65,0x8a,0x90
+
+# CHECK: s_ashr_i64 s[10:11], s[2:3], flat_scratch_lo    ; encoding: [0x02,0x66,0x8a,0x90]
+0x02,0x66,0x8a,0x90
+
+# CHECK: s_ashr_i64 s[10:11], s[2:3], flat_scratch_hi    ; encoding: [0x02,0x67,0x8a,0x90]
+0x02,0x67,0x8a,0x90
+
+# CHECK: s_ashr_i64 s[10:11], s[2:3], vcc_lo    ; encoding: [0x02,0x6a,0x8a,0x90]
+0x02,0x6a,0x8a,0x90
+
+# CHECK: s_ashr_i64 s[10:11], s[2:3], vcc_hi    ; encoding: [0x02,0x6b,0x8a,0x90]
+0x02,0x6b,0x8a,0x90
+
+# CHECK: s_ashr_i64 s[10:11], s[2:3], m0    ; encoding: [0x02,0x7c,0x8a,0x90]
+0x02,0x7c,0x8a,0x90
+
+# CHECK: s_ashr_i64 s[10:11], s[2:3], exec_lo    ; encoding: [0x02,0x7e,0x8a,0x90]
+0x02,0x7e,0x8a,0x90
+
+# CHECK: s_ashr_i64 s[10:11], s[2:3], exec_hi    ; encoding: [0x02,0x7f,0x8a,0x90]
+0x02,0x7f,0x8a,0x90
+
+# CHECK: s_ashr_i64 s[10:11], s[2:3], 0    ; encoding: [0x02,0x80,0x8a,0x90]
+0x02,0x80,0x8a,0x90
+
+# CHECK: s_ashr_i64 s[10:11], s[2:3], -1    ; encoding: [0x02,0xc1,0x8a,0x90]
+0x02,0xc1,0x8a,0x90
+
+# CHECK: s_ashr_i64 s[10:11], s[2:3], 0.5    ; encoding: [0x02,0xf0,0x8a,0x90]
+0x02,0xf0,0x8a,0x90
+
+# CHECK: s_ashr_i64 s[10:11], s[2:3], -4.0    ; encoding: [0x02,0xf7,0x8a,0x90]
+0x02,0xf7,0x8a,0x90
+
+# CHECK: s_ashr_i64 s[10:11], s[2:3], 0xaf123456    ; encoding: [0x02,0xff,0x8a,0x90,0x56,0x34,0x12,0xaf]
+0x02,0xff,0x8a,0x90,0x56,0x34,0x12,0xaf
+
+# CHECK: s_ashr_i64 s[10:11], s[2:3], 0x3f717273    ; encoding: [0x02,0xff,0x8a,0x90,0x73,0x72,0x71,0x3f]
+0x02,0xff,0x8a,0x90,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bfm_b32 s5, s1, s2    ; encoding: [0x01,0x02,0x05,0x91]
+0x01,0x02,0x05,0x91
+
+# CHECK: s_bfm_b32 s101, s1, s2    ; encoding: [0x01,0x02,0x65,0x91]
+0x01,0x02,0x65,0x91
+
+# CHECK: s_bfm_b32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0x66,0x91]
+0x01,0x02,0x66,0x91
+
+# CHECK: s_bfm_b32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0x67,0x91]
+0x01,0x02,0x67,0x91
+
+# CHECK: s_bfm_b32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0x6a,0x91]
+0x01,0x02,0x6a,0x91
+
+# CHECK: s_bfm_b32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0x6b,0x91]
+0x01,0x02,0x6b,0x91
+
+# CHECK: s_bfm_b32 m0, s1, s2    ; encoding: [0x01,0x02,0x7c,0x91]
+0x01,0x02,0x7c,0x91
+
+# CHECK: s_bfm_b32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0x7e,0x91]
+0x01,0x02,0x7e,0x91
+
+# CHECK: s_bfm_b32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0x7f,0x91]
+0x01,0x02,0x7f,0x91
+
+# CHECK: s_bfm_b32 s5, s101, s2    ; encoding: [0x65,0x02,0x05,0x91]
+0x65,0x02,0x05,0x91
+
+# CHECK: s_bfm_b32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x05,0x91]
+0x66,0x02,0x05,0x91
+
+# CHECK: s_bfm_b32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x05,0x91]
+0x67,0x02,0x05,0x91
+
+# CHECK: s_bfm_b32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x05,0x91]
+0x6a,0x02,0x05,0x91
+
+# CHECK: s_bfm_b32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x05,0x91]
+0x6b,0x02,0x05,0x91
+
+# CHECK: s_bfm_b32 s5, m0, s2    ; encoding: [0x7c,0x02,0x05,0x91]
+0x7c,0x02,0x05,0x91
+
+# CHECK: s_bfm_b32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x05,0x91]
+0x7e,0x02,0x05,0x91
+
+# CHECK: s_bfm_b32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x05,0x91]
+0x7f,0x02,0x05,0x91
+
+# CHECK: s_bfm_b32 s5, 0, s2    ; encoding: [0x80,0x02,0x05,0x91]
+0x80,0x02,0x05,0x91
+
+# CHECK: s_bfm_b32 s5, -1, s2    ; encoding: [0xc1,0x02,0x05,0x91]
+0xc1,0x02,0x05,0x91
+
+# CHECK: s_bfm_b32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x05,0x91]
+0xf0,0x02,0x05,0x91
+
+# CHECK: s_bfm_b32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x05,0x91]
+0xf7,0x02,0x05,0x91
+
+# CHECK: s_bfm_b32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x05,0x91,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x05,0x91,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bfm_b32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x05,0x91,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x05,0x91,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bfm_b32 s5, s1, s101    ; encoding: [0x01,0x65,0x05,0x91]
+0x01,0x65,0x05,0x91
+
+# CHECK: s_bfm_b32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x05,0x91]
+0x01,0x66,0x05,0x91
+
+# CHECK: s_bfm_b32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x05,0x91]
+0x01,0x67,0x05,0x91
+
+# CHECK: s_bfm_b32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x05,0x91]
+0x01,0x6a,0x05,0x91
+
+# CHECK: s_bfm_b32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x05,0x91]
+0x01,0x6b,0x05,0x91
+
+# CHECK: s_bfm_b32 s5, s1, m0    ; encoding: [0x01,0x7c,0x05,0x91]
+0x01,0x7c,0x05,0x91
+
+# CHECK: s_bfm_b32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x05,0x91]
+0x01,0x7e,0x05,0x91
+
+# CHECK: s_bfm_b32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x05,0x91]
+0x01,0x7f,0x05,0x91
+
+# CHECK: s_bfm_b32 s5, s1, 0    ; encoding: [0x01,0x80,0x05,0x91]
+0x01,0x80,0x05,0x91
+
+# CHECK: s_bfm_b32 s5, s1, -1    ; encoding: [0x01,0xc1,0x05,0x91]
+0x01,0xc1,0x05,0x91
+
+# CHECK: s_bfm_b32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x05,0x91]
+0x01,0xf0,0x05,0x91
+
+# CHECK: s_bfm_b32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x05,0x91]
+0x01,0xf7,0x05,0x91
+
+# CHECK: s_bfm_b32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x05,0x91,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x05,0x91,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bfm_b32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x05,0x91,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x05,0x91,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bfm_b64 s[10:11], s1, s2    ; encoding: [0x01,0x02,0x8a,0x91]
+0x01,0x02,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[12:13], s1, s2    ; encoding: [0x01,0x02,0x8c,0x91]
+0x01,0x02,0x8c,0x91
+
+# CHECK: s_bfm_b64 s[100:101], s1, s2    ; encoding: [0x01,0x02,0xe4,0x91]
+0x01,0x02,0xe4,0x91
+
+# CHECK: s_bfm_b64 flat_scratch, s1, s2    ; encoding: [0x01,0x02,0xe6,0x91]
+0x01,0x02,0xe6,0x91
+
+# CHECK: s_bfm_b64 vcc, s1, s2    ; encoding: [0x01,0x02,0xea,0x91]
+0x01,0x02,0xea,0x91
+
+# CHECK: s_bfm_b64 exec, s1, s2    ; encoding: [0x01,0x02,0xfe,0x91]
+0x01,0x02,0xfe,0x91
+
+# CHECK: s_bfm_b64 s[10:11], s101, s2    ; encoding: [0x65,0x02,0x8a,0x91]
+0x65,0x02,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[10:11], flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x8a,0x91]
+0x66,0x02,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[10:11], flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x8a,0x91]
+0x67,0x02,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[10:11], vcc_lo, s2    ; encoding: [0x6a,0x02,0x8a,0x91]
+0x6a,0x02,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[10:11], vcc_hi, s2    ; encoding: [0x6b,0x02,0x8a,0x91]
+0x6b,0x02,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[10:11], m0, s2    ; encoding: [0x7c,0x02,0x8a,0x91]
+0x7c,0x02,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[10:11], exec_lo, s2    ; encoding: [0x7e,0x02,0x8a,0x91]
+0x7e,0x02,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[10:11], exec_hi, s2    ; encoding: [0x7f,0x02,0x8a,0x91]
+0x7f,0x02,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[10:11], 0, s2    ; encoding: [0x80,0x02,0x8a,0x91]
+0x80,0x02,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[10:11], -1, s2    ; encoding: [0xc1,0x02,0x8a,0x91]
+0xc1,0x02,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[10:11], 0.5, s2    ; encoding: [0xf0,0x02,0x8a,0x91]
+0xf0,0x02,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[10:11], -4.0, s2    ; encoding: [0xf7,0x02,0x8a,0x91]
+0xf7,0x02,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[10:11], 0xaf123456, s2    ; encoding: [0xff,0x02,0x8a,0x91,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x8a,0x91,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bfm_b64 s[10:11], 0x3f717273, s2    ; encoding: [0xff,0x02,0x8a,0x91,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x8a,0x91,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bfm_b64 s[10:11], s1, s101    ; encoding: [0x01,0x65,0x8a,0x91]
+0x01,0x65,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[10:11], s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x8a,0x91]
+0x01,0x66,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[10:11], s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x8a,0x91]
+0x01,0x67,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[10:11], s1, vcc_lo    ; encoding: [0x01,0x6a,0x8a,0x91]
+0x01,0x6a,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[10:11], s1, vcc_hi    ; encoding: [0x01,0x6b,0x8a,0x91]
+0x01,0x6b,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[10:11], s1, m0    ; encoding: [0x01,0x7c,0x8a,0x91]
+0x01,0x7c,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[10:11], s1, exec_lo    ; encoding: [0x01,0x7e,0x8a,0x91]
+0x01,0x7e,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[10:11], s1, exec_hi    ; encoding: [0x01,0x7f,0x8a,0x91]
+0x01,0x7f,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[10:11], s1, 0    ; encoding: [0x01,0x80,0x8a,0x91]
+0x01,0x80,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[10:11], s1, -1    ; encoding: [0x01,0xc1,0x8a,0x91]
+0x01,0xc1,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[10:11], s1, 0.5    ; encoding: [0x01,0xf0,0x8a,0x91]
+0x01,0xf0,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[10:11], s1, -4.0    ; encoding: [0x01,0xf7,0x8a,0x91]
+0x01,0xf7,0x8a,0x91
+
+# CHECK: s_bfm_b64 s[10:11], s1, 0xaf123456    ; encoding: [0x01,0xff,0x8a,0x91,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x8a,0x91,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bfm_b64 s[10:11], s1, 0x3f717273    ; encoding: [0x01,0xff,0x8a,0x91,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x8a,0x91,0x73,0x72,0x71,0x3f
+
+# CHECK: s_mul_i32 s5, s1, s2    ; encoding: [0x01,0x02,0x05,0x92]
+0x01,0x02,0x05,0x92
+
+# CHECK: s_mul_i32 s101, s1, s2    ; encoding: [0x01,0x02,0x65,0x92]
+0x01,0x02,0x65,0x92
+
+# CHECK: s_mul_i32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0x66,0x92]
+0x01,0x02,0x66,0x92
+
+# CHECK: s_mul_i32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0x67,0x92]
+0x01,0x02,0x67,0x92
+
+# CHECK: s_mul_i32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0x6a,0x92]
+0x01,0x02,0x6a,0x92
+
+# CHECK: s_mul_i32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0x6b,0x92]
+0x01,0x02,0x6b,0x92
+
+# CHECK: s_mul_i32 m0, s1, s2    ; encoding: [0x01,0x02,0x7c,0x92]
+0x01,0x02,0x7c,0x92
+
+# CHECK: s_mul_i32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0x7e,0x92]
+0x01,0x02,0x7e,0x92
+
+# CHECK: s_mul_i32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0x7f,0x92]
+0x01,0x02,0x7f,0x92
+
+# CHECK: s_mul_i32 s5, s101, s2    ; encoding: [0x65,0x02,0x05,0x92]
+0x65,0x02,0x05,0x92
+
+# CHECK: s_mul_i32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x05,0x92]
+0x66,0x02,0x05,0x92
+
+# CHECK: s_mul_i32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x05,0x92]
+0x67,0x02,0x05,0x92
+
+# CHECK: s_mul_i32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x05,0x92]
+0x6a,0x02,0x05,0x92
+
+# CHECK: s_mul_i32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x05,0x92]
+0x6b,0x02,0x05,0x92
+
+# CHECK: s_mul_i32 s5, m0, s2    ; encoding: [0x7c,0x02,0x05,0x92]
+0x7c,0x02,0x05,0x92
+
+# CHECK: s_mul_i32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x05,0x92]
+0x7e,0x02,0x05,0x92
+
+# CHECK: s_mul_i32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x05,0x92]
+0x7f,0x02,0x05,0x92
+
+# CHECK: s_mul_i32 s5, 0, s2    ; encoding: [0x80,0x02,0x05,0x92]
+0x80,0x02,0x05,0x92
+
+# CHECK: s_mul_i32 s5, -1, s2    ; encoding: [0xc1,0x02,0x05,0x92]
+0xc1,0x02,0x05,0x92
+
+# CHECK: s_mul_i32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x05,0x92]
+0xf0,0x02,0x05,0x92
+
+# CHECK: s_mul_i32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x05,0x92]
+0xf7,0x02,0x05,0x92
+
+# CHECK: s_mul_i32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x05,0x92,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x05,0x92,0x56,0x34,0x12,0xaf
+
+# CHECK: s_mul_i32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x05,0x92,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x05,0x92,0x73,0x72,0x71,0x3f
+
+# CHECK: s_mul_i32 s5, s1, s101    ; encoding: [0x01,0x65,0x05,0x92]
+0x01,0x65,0x05,0x92
+
+# CHECK: s_mul_i32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x05,0x92]
+0x01,0x66,0x05,0x92
+
+# CHECK: s_mul_i32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x05,0x92]
+0x01,0x67,0x05,0x92
+
+# CHECK: s_mul_i32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x05,0x92]
+0x01,0x6a,0x05,0x92
+
+# CHECK: s_mul_i32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x05,0x92]
+0x01,0x6b,0x05,0x92
+
+# CHECK: s_mul_i32 s5, s1, m0    ; encoding: [0x01,0x7c,0x05,0x92]
+0x01,0x7c,0x05,0x92
+
+# CHECK: s_mul_i32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x05,0x92]
+0x01,0x7e,0x05,0x92
+
+# CHECK: s_mul_i32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x05,0x92]
+0x01,0x7f,0x05,0x92
+
+# CHECK: s_mul_i32 s5, s1, 0    ; encoding: [0x01,0x80,0x05,0x92]
+0x01,0x80,0x05,0x92
+
+# CHECK: s_mul_i32 s5, s1, -1    ; encoding: [0x01,0xc1,0x05,0x92]
+0x01,0xc1,0x05,0x92
+
+# CHECK: s_mul_i32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x05,0x92]
+0x01,0xf0,0x05,0x92
+
+# CHECK: s_mul_i32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x05,0x92]
+0x01,0xf7,0x05,0x92
+
+# CHECK: s_mul_i32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x05,0x92,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x05,0x92,0x56,0x34,0x12,0xaf
+
+# CHECK: s_mul_i32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x05,0x92,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x05,0x92,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bfe_u32 s5, s1, s2    ; encoding: [0x01,0x02,0x85,0x92]
+0x01,0x02,0x85,0x92
+
+# CHECK: s_bfe_u32 s101, s1, s2    ; encoding: [0x01,0x02,0xe5,0x92]
+0x01,0x02,0xe5,0x92
+
+# CHECK: s_bfe_u32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0xe6,0x92]
+0x01,0x02,0xe6,0x92
+
+# CHECK: s_bfe_u32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0xe7,0x92]
+0x01,0x02,0xe7,0x92
+
+# CHECK: s_bfe_u32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0xea,0x92]
+0x01,0x02,0xea,0x92
+
+# CHECK: s_bfe_u32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0xeb,0x92]
+0x01,0x02,0xeb,0x92
+
+# CHECK: s_bfe_u32 m0, s1, s2    ; encoding: [0x01,0x02,0xfc,0x92]
+0x01,0x02,0xfc,0x92
+
+# CHECK: s_bfe_u32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0xfe,0x92]
+0x01,0x02,0xfe,0x92
+
+# CHECK: s_bfe_u32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0xff,0x92]
+0x01,0x02,0xff,0x92
+
+# CHECK: s_bfe_u32 s5, s101, s2    ; encoding: [0x65,0x02,0x85,0x92]
+0x65,0x02,0x85,0x92
+
+# CHECK: s_bfe_u32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x85,0x92]
+0x66,0x02,0x85,0x92
+
+# CHECK: s_bfe_u32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x85,0x92]
+0x67,0x02,0x85,0x92
+
+# CHECK: s_bfe_u32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x85,0x92]
+0x6a,0x02,0x85,0x92
+
+# CHECK: s_bfe_u32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x85,0x92]
+0x6b,0x02,0x85,0x92
+
+# CHECK: s_bfe_u32 s5, m0, s2    ; encoding: [0x7c,0x02,0x85,0x92]
+0x7c,0x02,0x85,0x92
+
+# CHECK: s_bfe_u32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x85,0x92]
+0x7e,0x02,0x85,0x92
+
+# CHECK: s_bfe_u32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x85,0x92]
+0x7f,0x02,0x85,0x92
+
+# CHECK: s_bfe_u32 s5, 0, s2    ; encoding: [0x80,0x02,0x85,0x92]
+0x80,0x02,0x85,0x92
+
+# CHECK: s_bfe_u32 s5, -1, s2    ; encoding: [0xc1,0x02,0x85,0x92]
+0xc1,0x02,0x85,0x92
+
+# CHECK: s_bfe_u32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x85,0x92]
+0xf0,0x02,0x85,0x92
+
+# CHECK: s_bfe_u32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x85,0x92]
+0xf7,0x02,0x85,0x92
+
+# CHECK: s_bfe_u32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x85,0x92,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x85,0x92,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bfe_u32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x85,0x92,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x85,0x92,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bfe_u32 s5, s1, s101    ; encoding: [0x01,0x65,0x85,0x92]
+0x01,0x65,0x85,0x92
+
+# CHECK: s_bfe_u32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x85,0x92]
+0x01,0x66,0x85,0x92
+
+# CHECK: s_bfe_u32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x85,0x92]
+0x01,0x67,0x85,0x92
+
+# CHECK: s_bfe_u32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x85,0x92]
+0x01,0x6a,0x85,0x92
+
+# CHECK: s_bfe_u32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x85,0x92]
+0x01,0x6b,0x85,0x92
+
+# CHECK: s_bfe_u32 s5, s1, m0    ; encoding: [0x01,0x7c,0x85,0x92]
+0x01,0x7c,0x85,0x92
+
+# CHECK: s_bfe_u32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x85,0x92]
+0x01,0x7e,0x85,0x92
+
+# CHECK: s_bfe_u32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x85,0x92]
+0x01,0x7f,0x85,0x92
+
+# CHECK: s_bfe_u32 s5, s1, 0    ; encoding: [0x01,0x80,0x85,0x92]
+0x01,0x80,0x85,0x92
+
+# CHECK: s_bfe_u32 s5, s1, -1    ; encoding: [0x01,0xc1,0x85,0x92]
+0x01,0xc1,0x85,0x92
+
+# CHECK: s_bfe_u32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x85,0x92]
+0x01,0xf0,0x85,0x92
+
+# CHECK: s_bfe_u32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x85,0x92]
+0x01,0xf7,0x85,0x92
+
+# CHECK: s_bfe_u32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x85,0x92,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x85,0x92,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bfe_u32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x85,0x92,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x85,0x92,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bfe_i32 s5, s1, s2    ; encoding: [0x01,0x02,0x05,0x93]
+0x01,0x02,0x05,0x93
+
+# CHECK: s_bfe_i32 s101, s1, s2    ; encoding: [0x01,0x02,0x65,0x93]
+0x01,0x02,0x65,0x93
+
+# CHECK: s_bfe_i32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0x66,0x93]
+0x01,0x02,0x66,0x93
+
+# CHECK: s_bfe_i32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0x67,0x93]
+0x01,0x02,0x67,0x93
+
+# CHECK: s_bfe_i32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0x6a,0x93]
+0x01,0x02,0x6a,0x93
+
+# CHECK: s_bfe_i32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0x6b,0x93]
+0x01,0x02,0x6b,0x93
+
+# CHECK: s_bfe_i32 m0, s1, s2    ; encoding: [0x01,0x02,0x7c,0x93]
+0x01,0x02,0x7c,0x93
+
+# CHECK: s_bfe_i32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0x7e,0x93]
+0x01,0x02,0x7e,0x93
+
+# CHECK: s_bfe_i32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0x7f,0x93]
+0x01,0x02,0x7f,0x93
+
+# CHECK: s_bfe_i32 s5, s101, s2    ; encoding: [0x65,0x02,0x05,0x93]
+0x65,0x02,0x05,0x93
+
+# CHECK: s_bfe_i32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x05,0x93]
+0x66,0x02,0x05,0x93
+
+# CHECK: s_bfe_i32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x05,0x93]
+0x67,0x02,0x05,0x93
+
+# CHECK: s_bfe_i32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x05,0x93]
+0x6a,0x02,0x05,0x93
+
+# CHECK: s_bfe_i32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x05,0x93]
+0x6b,0x02,0x05,0x93
+
+# CHECK: s_bfe_i32 s5, m0, s2    ; encoding: [0x7c,0x02,0x05,0x93]
+0x7c,0x02,0x05,0x93
+
+# CHECK: s_bfe_i32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x05,0x93]
+0x7e,0x02,0x05,0x93
+
+# CHECK: s_bfe_i32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x05,0x93]
+0x7f,0x02,0x05,0x93
+
+# CHECK: s_bfe_i32 s5, 0, s2    ; encoding: [0x80,0x02,0x05,0x93]
+0x80,0x02,0x05,0x93
+
+# CHECK: s_bfe_i32 s5, -1, s2    ; encoding: [0xc1,0x02,0x05,0x93]
+0xc1,0x02,0x05,0x93
+
+# CHECK: s_bfe_i32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x05,0x93]
+0xf0,0x02,0x05,0x93
+
+# CHECK: s_bfe_i32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x05,0x93]
+0xf7,0x02,0x05,0x93
+
+# CHECK: s_bfe_i32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x05,0x93,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x05,0x93,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bfe_i32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x05,0x93,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x05,0x93,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bfe_i32 s5, s1, s101    ; encoding: [0x01,0x65,0x05,0x93]
+0x01,0x65,0x05,0x93
+
+# CHECK: s_bfe_i32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x05,0x93]
+0x01,0x66,0x05,0x93
+
+# CHECK: s_bfe_i32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x05,0x93]
+0x01,0x67,0x05,0x93
+
+# CHECK: s_bfe_i32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x05,0x93]
+0x01,0x6a,0x05,0x93
+
+# CHECK: s_bfe_i32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x05,0x93]
+0x01,0x6b,0x05,0x93
+
+# CHECK: s_bfe_i32 s5, s1, m0    ; encoding: [0x01,0x7c,0x05,0x93]
+0x01,0x7c,0x05,0x93
+
+# CHECK: s_bfe_i32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x05,0x93]
+0x01,0x7e,0x05,0x93
+
+# CHECK: s_bfe_i32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x05,0x93]
+0x01,0x7f,0x05,0x93
+
+# CHECK: s_bfe_i32 s5, s1, 0    ; encoding: [0x01,0x80,0x05,0x93]
+0x01,0x80,0x05,0x93
+
+# CHECK: s_bfe_i32 s5, s1, -1    ; encoding: [0x01,0xc1,0x05,0x93]
+0x01,0xc1,0x05,0x93
+
+# CHECK: s_bfe_i32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x05,0x93]
+0x01,0xf0,0x05,0x93
+
+# CHECK: s_bfe_i32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x05,0x93]
+0x01,0xf7,0x05,0x93
+
+# CHECK: s_bfe_i32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x05,0x93,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x05,0x93,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bfe_i32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x05,0x93,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x05,0x93,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bfe_u64 s[10:11], s[2:3], s2    ; encoding: [0x02,0x02,0x8a,0x93]
+0x02,0x02,0x8a,0x93
+
+# CHECK: s_bfe_u64 s[12:13], s[2:3], s2    ; encoding: [0x02,0x02,0x8c,0x93]
+0x02,0x02,0x8c,0x93
+
+# CHECK: s_bfe_u64 s[100:101], s[2:3], s2    ; encoding: [0x02,0x02,0xe4,0x93]
+0x02,0x02,0xe4,0x93
+
+# CHECK: s_bfe_u64 flat_scratch, s[2:3], s2    ; encoding: [0x02,0x02,0xe6,0x93]
+0x02,0x02,0xe6,0x93
+
+# CHECK: s_bfe_u64 vcc, s[2:3], s2    ; encoding: [0x02,0x02,0xea,0x93]
+0x02,0x02,0xea,0x93
+
+# CHECK: s_bfe_u64 exec, s[2:3], s2    ; encoding: [0x02,0x02,0xfe,0x93]
+0x02,0x02,0xfe,0x93
+
+# CHECK: s_bfe_u64 s[10:11], s[4:5], s2    ; encoding: [0x04,0x02,0x8a,0x93]
+0x04,0x02,0x8a,0x93
+
+# CHECK: s_bfe_u64 s[10:11], s[100:101], s2    ; encoding: [0x64,0x02,0x8a,0x93]
+0x64,0x02,0x8a,0x93
+
+# CHECK: s_bfe_u64 s[10:11], flat_scratch, s2    ; encoding: [0x66,0x02,0x8a,0x93]
+0x66,0x02,0x8a,0x93
+
+# CHECK: s_bfe_u64 s[10:11], vcc, s2    ; encoding: [0x6a,0x02,0x8a,0x93]
+0x6a,0x02,0x8a,0x93
+
+# CHECK: s_bfe_u64 s[10:11], exec, s2    ; encoding: [0x7e,0x02,0x8a,0x93]
+0x7e,0x02,0x8a,0x93
+
+# CHECK: s_bfe_u64 s[10:11], 0, s2    ; encoding: [0x80,0x02,0x8a,0x93]
+0x80,0x02,0x8a,0x93
+
+# CHECK: s_bfe_u64 s[10:11], -1, s2    ; encoding: [0xc1,0x02,0x8a,0x93]
+0xc1,0x02,0x8a,0x93
+
+# CHECK: s_bfe_u64 s[10:11], 0.5, s2    ; encoding: [0xf0,0x02,0x8a,0x93]
+0xf0,0x02,0x8a,0x93
+
+# CHECK: s_bfe_u64 s[10:11], -4.0, s2    ; encoding: [0xf7,0x02,0x8a,0x93]
+0xf7,0x02,0x8a,0x93
+
+# CHECK: s_bfe_u64 s[10:11], 0xaf123456, s2    ; encoding: [0xff,0x02,0x8a,0x93,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x8a,0x93,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bfe_u64 s[10:11], 0x3f717273, s2    ; encoding: [0xff,0x02,0x8a,0x93,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x8a,0x93,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bfe_u64 s[10:11], s[2:3], s101    ; encoding: [0x02,0x65,0x8a,0x93]
+0x02,0x65,0x8a,0x93
+
+# CHECK: s_bfe_u64 s[10:11], s[2:3], flat_scratch_lo    ; encoding: [0x02,0x66,0x8a,0x93]
+0x02,0x66,0x8a,0x93
+
+# CHECK: s_bfe_u64 s[10:11], s[2:3], flat_scratch_hi    ; encoding: [0x02,0x67,0x8a,0x93]
+0x02,0x67,0x8a,0x93
+
+# CHECK: s_bfe_u64 s[10:11], s[2:3], vcc_lo    ; encoding: [0x02,0x6a,0x8a,0x93]
+0x02,0x6a,0x8a,0x93
+
+# CHECK: s_bfe_u64 s[10:11], s[2:3], vcc_hi    ; encoding: [0x02,0x6b,0x8a,0x93]
+0x02,0x6b,0x8a,0x93
+
+# CHECK: s_bfe_u64 s[10:11], s[2:3], m0    ; encoding: [0x02,0x7c,0x8a,0x93]
+0x02,0x7c,0x8a,0x93
+
+# CHECK: s_bfe_u64 s[10:11], s[2:3], exec_lo    ; encoding: [0x02,0x7e,0x8a,0x93]
+0x02,0x7e,0x8a,0x93
+
+# CHECK: s_bfe_u64 s[10:11], s[2:3], exec_hi    ; encoding: [0x02,0x7f,0x8a,0x93]
+0x02,0x7f,0x8a,0x93
+
+# CHECK: s_bfe_u64 s[10:11], s[2:3], 0    ; encoding: [0x02,0x80,0x8a,0x93]
+0x02,0x80,0x8a,0x93
+
+# CHECK: s_bfe_u64 s[10:11], s[2:3], -1    ; encoding: [0x02,0xc1,0x8a,0x93]
+0x02,0xc1,0x8a,0x93
+
+# CHECK: s_bfe_u64 s[10:11], s[2:3], 0.5    ; encoding: [0x02,0xf0,0x8a,0x93]
+0x02,0xf0,0x8a,0x93
+
+# CHECK: s_bfe_u64 s[10:11], s[2:3], -4.0    ; encoding: [0x02,0xf7,0x8a,0x93]
+0x02,0xf7,0x8a,0x93
+
+# CHECK: s_bfe_u64 s[10:11], s[2:3], 0xaf123456    ; encoding: [0x02,0xff,0x8a,0x93,0x56,0x34,0x12,0xaf]
+0x02,0xff,0x8a,0x93,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bfe_u64 s[10:11], s[2:3], 0x3f717273    ; encoding: [0x02,0xff,0x8a,0x93,0x73,0x72,0x71,0x3f]
+0x02,0xff,0x8a,0x93,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bfe_i64 s[10:11], s[2:3], s2    ; encoding: [0x02,0x02,0x0a,0x94]
+0x02,0x02,0x0a,0x94
+
+# CHECK: s_bfe_i64 s[12:13], s[2:3], s2    ; encoding: [0x02,0x02,0x0c,0x94]
+0x02,0x02,0x0c,0x94
+
+# CHECK: s_bfe_i64 s[100:101], s[2:3], s2    ; encoding: [0x02,0x02,0x64,0x94]
+0x02,0x02,0x64,0x94
+
+# CHECK: s_bfe_i64 flat_scratch, s[2:3], s2    ; encoding: [0x02,0x02,0x66,0x94]
+0x02,0x02,0x66,0x94
+
+# CHECK: s_bfe_i64 vcc, s[2:3], s2    ; encoding: [0x02,0x02,0x6a,0x94]
+0x02,0x02,0x6a,0x94
+
+# CHECK: s_bfe_i64 exec, s[2:3], s2    ; encoding: [0x02,0x02,0x7e,0x94]
+0x02,0x02,0x7e,0x94
+
+# CHECK: s_bfe_i64 s[10:11], s[4:5], s2    ; encoding: [0x04,0x02,0x0a,0x94]
+0x04,0x02,0x0a,0x94
+
+# CHECK: s_bfe_i64 s[10:11], s[100:101], s2    ; encoding: [0x64,0x02,0x0a,0x94]
+0x64,0x02,0x0a,0x94
+
+# CHECK: s_bfe_i64 s[10:11], flat_scratch, s2    ; encoding: [0x66,0x02,0x0a,0x94]
+0x66,0x02,0x0a,0x94
+
+# CHECK: s_bfe_i64 s[10:11], vcc, s2    ; encoding: [0x6a,0x02,0x0a,0x94]
+0x6a,0x02,0x0a,0x94
+
+# CHECK: s_bfe_i64 s[10:11], exec, s2    ; encoding: [0x7e,0x02,0x0a,0x94]
+0x7e,0x02,0x0a,0x94
+
+# CHECK: s_bfe_i64 s[10:11], 0, s2    ; encoding: [0x80,0x02,0x0a,0x94]
+0x80,0x02,0x0a,0x94
+
+# CHECK: s_bfe_i64 s[10:11], -1, s2    ; encoding: [0xc1,0x02,0x0a,0x94]
+0xc1,0x02,0x0a,0x94
+
+# CHECK: s_bfe_i64 s[10:11], 0.5, s2    ; encoding: [0xf0,0x02,0x0a,0x94]
+0xf0,0x02,0x0a,0x94
+
+# CHECK: s_bfe_i64 s[10:11], -4.0, s2    ; encoding: [0xf7,0x02,0x0a,0x94]
+0xf7,0x02,0x0a,0x94
+
+# CHECK: s_bfe_i64 s[10:11], 0xaf123456, s2    ; encoding: [0xff,0x02,0x0a,0x94,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x0a,0x94,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bfe_i64 s[10:11], 0x3f717273, s2    ; encoding: [0xff,0x02,0x0a,0x94,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x0a,0x94,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bfe_i64 s[10:11], s[2:3], s101    ; encoding: [0x02,0x65,0x0a,0x94]
+0x02,0x65,0x0a,0x94
+
+# CHECK: s_bfe_i64 s[10:11], s[2:3], flat_scratch_lo    ; encoding: [0x02,0x66,0x0a,0x94]
+0x02,0x66,0x0a,0x94
+
+# CHECK: s_bfe_i64 s[10:11], s[2:3], flat_scratch_hi    ; encoding: [0x02,0x67,0x0a,0x94]
+0x02,0x67,0x0a,0x94
+
+# CHECK: s_bfe_i64 s[10:11], s[2:3], vcc_lo    ; encoding: [0x02,0x6a,0x0a,0x94]
+0x02,0x6a,0x0a,0x94
+
+# CHECK: s_bfe_i64 s[10:11], s[2:3], vcc_hi    ; encoding: [0x02,0x6b,0x0a,0x94]
+0x02,0x6b,0x0a,0x94
+
+# CHECK: s_bfe_i64 s[10:11], s[2:3], m0    ; encoding: [0x02,0x7c,0x0a,0x94]
+0x02,0x7c,0x0a,0x94
+
+# CHECK: s_bfe_i64 s[10:11], s[2:3], exec_lo    ; encoding: [0x02,0x7e,0x0a,0x94]
+0x02,0x7e,0x0a,0x94
+
+# CHECK: s_bfe_i64 s[10:11], s[2:3], exec_hi    ; encoding: [0x02,0x7f,0x0a,0x94]
+0x02,0x7f,0x0a,0x94
+
+# CHECK: s_bfe_i64 s[10:11], s[2:3], 0    ; encoding: [0x02,0x80,0x0a,0x94]
+0x02,0x80,0x0a,0x94
+
+# CHECK: s_bfe_i64 s[10:11], s[2:3], -1    ; encoding: [0x02,0xc1,0x0a,0x94]
+0x02,0xc1,0x0a,0x94
+
+# CHECK: s_bfe_i64 s[10:11], s[2:3], 0.5    ; encoding: [0x02,0xf0,0x0a,0x94]
+0x02,0xf0,0x0a,0x94
+
+# CHECK: s_bfe_i64 s[10:11], s[2:3], -4.0    ; encoding: [0x02,0xf7,0x0a,0x94]
+0x02,0xf7,0x0a,0x94
+
+# CHECK: s_bfe_i64 s[10:11], s[2:3], 0xaf123456    ; encoding: [0x02,0xff,0x0a,0x94,0x56,0x34,0x12,0xaf]
+0x02,0xff,0x0a,0x94,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bfe_i64 s[10:11], s[2:3], 0x3f717273    ; encoding: [0x02,0xff,0x0a,0x94,0x73,0x72,0x71,0x3f]
+0x02,0xff,0x0a,0x94,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cbranch_g_fork s[2:3], s[4:5]    ; encoding: [0x02,0x04,0x80,0x94]
+0x02,0x04,0x80,0x94
+
+# CHECK: s_cbranch_g_fork s[4:5], s[4:5]    ; encoding: [0x04,0x04,0x80,0x94]
+0x04,0x04,0x80,0x94
+
+# CHECK: s_cbranch_g_fork s[100:101], s[4:5]    ; encoding: [0x64,0x04,0x80,0x94]
+0x64,0x04,0x80,0x94
+
+# CHECK: s_cbranch_g_fork flat_scratch, s[4:5]    ; encoding: [0x66,0x04,0x80,0x94]
+0x66,0x04,0x80,0x94
+
+# CHECK: s_cbranch_g_fork vcc, s[4:5]    ; encoding: [0x6a,0x04,0x80,0x94]
+0x6a,0x04,0x80,0x94
+
+# CHECK: s_cbranch_g_fork exec, s[4:5]    ; encoding: [0x7e,0x04,0x80,0x94]
+0x7e,0x04,0x80,0x94
+
+# CHECK: s_cbranch_g_fork 0, s[4:5]    ; encoding: [0x80,0x04,0x80,0x94]
+0x80,0x04,0x80,0x94
+
+# CHECK: s_cbranch_g_fork -1, s[4:5]    ; encoding: [0xc1,0x04,0x80,0x94]
+0xc1,0x04,0x80,0x94
+
+# CHECK: s_cbranch_g_fork 0.5, s[4:5]    ; encoding: [0xf0,0x04,0x80,0x94]
+0xf0,0x04,0x80,0x94
+
+# CHECK: s_cbranch_g_fork -4.0, s[4:5]    ; encoding: [0xf7,0x04,0x80,0x94]
+0xf7,0x04,0x80,0x94
+
+# CHECK: s_cbranch_g_fork s[2:3], s[6:7]    ; encoding: [0x02,0x06,0x80,0x94]
+0x02,0x06,0x80,0x94
+
+# CHECK: s_cbranch_g_fork s[2:3], s[100:101]    ; encoding: [0x02,0x64,0x80,0x94]
+0x02,0x64,0x80,0x94
+
+# CHECK: s_cbranch_g_fork s[2:3], flat_scratch    ; encoding: [0x02,0x66,0x80,0x94]
+0x02,0x66,0x80,0x94
+
+# CHECK: s_cbranch_g_fork s[2:3], vcc    ; encoding: [0x02,0x6a,0x80,0x94]
+0x02,0x6a,0x80,0x94
+
+# CHECK: s_cbranch_g_fork s[2:3], exec    ; encoding: [0x02,0x7e,0x80,0x94]
+0x02,0x7e,0x80,0x94
+
+# CHECK: s_cbranch_g_fork s[2:3], 0    ; encoding: [0x02,0x80,0x80,0x94]
+0x02,0x80,0x80,0x94
+
+# CHECK: s_cbranch_g_fork s[2:3], -1    ; encoding: [0x02,0xc1,0x80,0x94]
+0x02,0xc1,0x80,0x94
+
+# CHECK: s_cbranch_g_fork s[2:3], 0.5    ; encoding: [0x02,0xf0,0x80,0x94]
+0x02,0xf0,0x80,0x94
+
+# CHECK: s_cbranch_g_fork s[2:3], -4.0    ; encoding: [0x02,0xf7,0x80,0x94]
+0x02,0xf7,0x80,0x94
+
+# CHECK: s_absdiff_i32 s5, s1, s2    ; encoding: [0x01,0x02,0x05,0x95]
+0x01,0x02,0x05,0x95
+
+# CHECK: s_absdiff_i32 s101, s1, s2    ; encoding: [0x01,0x02,0x65,0x95]
+0x01,0x02,0x65,0x95
+
+# CHECK: s_absdiff_i32 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0x66,0x95]
+0x01,0x02,0x66,0x95
+
+# CHECK: s_absdiff_i32 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0x67,0x95]
+0x01,0x02,0x67,0x95
+
+# CHECK: s_absdiff_i32 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0x6a,0x95]
+0x01,0x02,0x6a,0x95
+
+# CHECK: s_absdiff_i32 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0x6b,0x95]
+0x01,0x02,0x6b,0x95
+
+# CHECK: s_absdiff_i32 m0, s1, s2    ; encoding: [0x01,0x02,0x7c,0x95]
+0x01,0x02,0x7c,0x95
+
+# CHECK: s_absdiff_i32 exec_lo, s1, s2    ; encoding: [0x01,0x02,0x7e,0x95]
+0x01,0x02,0x7e,0x95
+
+# CHECK: s_absdiff_i32 exec_hi, s1, s2    ; encoding: [0x01,0x02,0x7f,0x95]
+0x01,0x02,0x7f,0x95
+
+# CHECK: s_absdiff_i32 s5, s101, s2    ; encoding: [0x65,0x02,0x05,0x95]
+0x65,0x02,0x05,0x95
+
+# CHECK: s_absdiff_i32 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x05,0x95]
+0x66,0x02,0x05,0x95
+
+# CHECK: s_absdiff_i32 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x05,0x95]
+0x67,0x02,0x05,0x95
+
+# CHECK: s_absdiff_i32 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x05,0x95]
+0x6a,0x02,0x05,0x95
+
+# CHECK: s_absdiff_i32 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x05,0x95]
+0x6b,0x02,0x05,0x95
+
+# CHECK: s_absdiff_i32 s5, m0, s2    ; encoding: [0x7c,0x02,0x05,0x95]
+0x7c,0x02,0x05,0x95
+
+# CHECK: s_absdiff_i32 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x05,0x95]
+0x7e,0x02,0x05,0x95
+
+# CHECK: s_absdiff_i32 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x05,0x95]
+0x7f,0x02,0x05,0x95
+
+# CHECK: s_absdiff_i32 s5, 0, s2    ; encoding: [0x80,0x02,0x05,0x95]
+0x80,0x02,0x05,0x95
+
+# CHECK: s_absdiff_i32 s5, -1, s2    ; encoding: [0xc1,0x02,0x05,0x95]
+0xc1,0x02,0x05,0x95
+
+# CHECK: s_absdiff_i32 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x05,0x95]
+0xf0,0x02,0x05,0x95
+
+# CHECK: s_absdiff_i32 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x05,0x95]
+0xf7,0x02,0x05,0x95
+
+# CHECK: s_absdiff_i32 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x05,0x95,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x05,0x95,0x56,0x34,0x12,0xaf
+
+# CHECK: s_absdiff_i32 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x05,0x95,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x05,0x95,0x73,0x72,0x71,0x3f
+
+# CHECK: s_absdiff_i32 s5, s1, s101    ; encoding: [0x01,0x65,0x05,0x95]
+0x01,0x65,0x05,0x95
+
+# CHECK: s_absdiff_i32 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x05,0x95]
+0x01,0x66,0x05,0x95
+
+# CHECK: s_absdiff_i32 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x05,0x95]
+0x01,0x67,0x05,0x95
+
+# CHECK: s_absdiff_i32 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x05,0x95]
+0x01,0x6a,0x05,0x95
+
+# CHECK: s_absdiff_i32 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x05,0x95]
+0x01,0x6b,0x05,0x95
+
+# CHECK: s_absdiff_i32 s5, s1, m0    ; encoding: [0x01,0x7c,0x05,0x95]
+0x01,0x7c,0x05,0x95
+
+# CHECK: s_absdiff_i32 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x05,0x95]
+0x01,0x7e,0x05,0x95
+
+# CHECK: s_absdiff_i32 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x05,0x95]
+0x01,0x7f,0x05,0x95
+
+# CHECK: s_absdiff_i32 s5, s1, 0    ; encoding: [0x01,0x80,0x05,0x95]
+0x01,0x80,0x05,0x95
+
+# CHECK: s_absdiff_i32 s5, s1, -1    ; encoding: [0x01,0xc1,0x05,0x95]
+0x01,0xc1,0x05,0x95
+
+# CHECK: s_absdiff_i32 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x05,0x95]
+0x01,0xf0,0x05,0x95
+
+# CHECK: s_absdiff_i32 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x05,0x95]
+0x01,0xf7,0x05,0x95
+
+# CHECK: s_absdiff_i32 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x05,0x95,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x05,0x95,0x56,0x34,0x12,0xaf
+
+# CHECK: s_absdiff_i32 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x05,0x95,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x05,0x95,0x73,0x72,0x71,0x3f
+
+# CHECK: s_rfe_restore_b64 s[2:3], s2    ; encoding: [0x02,0x02,0x80,0x95]
+0x02,0x02,0x80,0x95
+
+# CHECK: s_rfe_restore_b64 s[4:5], s2    ; encoding: [0x04,0x02,0x80,0x95]
+0x04,0x02,0x80,0x95
+
+# CHECK: s_rfe_restore_b64 s[100:101], s2    ; encoding: [0x64,0x02,0x80,0x95]
+0x64,0x02,0x80,0x95
+
+# CHECK: s_rfe_restore_b64 flat_scratch, s2    ; encoding: [0x66,0x02,0x80,0x95]
+0x66,0x02,0x80,0x95
+
+# CHECK: s_rfe_restore_b64 vcc, s2    ; encoding: [0x6a,0x02,0x80,0x95]
+0x6a,0x02,0x80,0x95
+
+# CHECK: s_rfe_restore_b64 exec, s2    ; encoding: [0x7e,0x02,0x80,0x95]
+0x7e,0x02,0x80,0x95
+
+# CHECK: s_rfe_restore_b64 0, s2    ; encoding: [0x80,0x02,0x80,0x95]
+0x80,0x02,0x80,0x95
+
+# CHECK: s_rfe_restore_b64 -1, s2    ; encoding: [0xc1,0x02,0x80,0x95]
+0xc1,0x02,0x80,0x95
+
+# CHECK: s_rfe_restore_b64 0.5, s2    ; encoding: [0xf0,0x02,0x80,0x95]
+0xf0,0x02,0x80,0x95
+
+# CHECK: s_rfe_restore_b64 -4.0, s2    ; encoding: [0xf7,0x02,0x80,0x95]
+0xf7,0x02,0x80,0x95
+
+# CHECK: s_rfe_restore_b64 0xaf123456, s2    ; encoding: [0xff,0x02,0x80,0x95,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x80,0x95,0x56,0x34,0x12,0xaf
+
+# CHECK: s_rfe_restore_b64 0x3f717273, s2    ; encoding: [0xff,0x02,0x80,0x95,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x80,0x95,0x73,0x72,0x71,0x3f
+
+# CHECK: s_rfe_restore_b64 s[2:3], s101    ; encoding: [0x02,0x65,0x80,0x95]
+0x02,0x65,0x80,0x95
+
+# CHECK: s_rfe_restore_b64 s[2:3], flat_scratch_lo    ; encoding: [0x02,0x66,0x80,0x95]
+0x02,0x66,0x80,0x95
+
+# CHECK: s_rfe_restore_b64 s[2:3], flat_scratch_hi    ; encoding: [0x02,0x67,0x80,0x95]
+0x02,0x67,0x80,0x95
+
+# CHECK: s_rfe_restore_b64 s[2:3], vcc_lo    ; encoding: [0x02,0x6a,0x80,0x95]
+0x02,0x6a,0x80,0x95
+
+# CHECK: s_rfe_restore_b64 s[2:3], vcc_hi    ; encoding: [0x02,0x6b,0x80,0x95]
+0x02,0x6b,0x80,0x95
+
+# CHECK: s_rfe_restore_b64 s[2:3], m0    ; encoding: [0x02,0x7c,0x80,0x95]
+0x02,0x7c,0x80,0x95
+
+# CHECK: s_rfe_restore_b64 s[2:3], exec_lo    ; encoding: [0x02,0x7e,0x80,0x95]
+0x02,0x7e,0x80,0x95
+
+# CHECK: s_rfe_restore_b64 s[2:3], exec_hi    ; encoding: [0x02,0x7f,0x80,0x95]
+0x02,0x7f,0x80,0x95
+
+# CHECK: s_rfe_restore_b64 s[2:3], 0    ; encoding: [0x02,0x80,0x80,0x95]
+0x02,0x80,0x80,0x95
+
+# CHECK: s_rfe_restore_b64 s[2:3], -1    ; encoding: [0x02,0xc1,0x80,0x95]
+0x02,0xc1,0x80,0x95
+
+# CHECK: s_rfe_restore_b64 s[2:3], 0.5    ; encoding: [0x02,0xf0,0x80,0x95]
+0x02,0xf0,0x80,0x95
+
+# CHECK: s_rfe_restore_b64 s[2:3], -4.0    ; encoding: [0x02,0xf7,0x80,0x95]
+0x02,0xf7,0x80,0x95
+
+# CHECK: s_rfe_restore_b64 s[2:3], 0xaf123456    ; encoding: [0x02,0xff,0x80,0x95,0x56,0x34,0x12,0xaf]
+0x02,0xff,0x80,0x95,0x56,0x34,0x12,0xaf
+
+# CHECK: s_rfe_restore_b64 s[2:3], 0x3f717273    ; encoding: [0x02,0xff,0x80,0x95,0x73,0x72,0x71,0x3f]
+0x02,0xff,0x80,0x95,0x73,0x72,0x71,0x3f
+
+# CHECK: s_pack_ll_b32_b16 s5, s1, s2    ; encoding: [0x01,0x02,0x05,0x99]
+0x01,0x02,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s101, s1, s2    ; encoding: [0x01,0x02,0x65,0x99]
+0x01,0x02,0x65,0x99
+
+# CHECK: s_pack_ll_b32_b16 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0x66,0x99]
+0x01,0x02,0x66,0x99
+
+# CHECK: s_pack_ll_b32_b16 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0x67,0x99]
+0x01,0x02,0x67,0x99
+
+# CHECK: s_pack_ll_b32_b16 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0x6a,0x99]
+0x01,0x02,0x6a,0x99
+
+# CHECK: s_pack_ll_b32_b16 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0x6b,0x99]
+0x01,0x02,0x6b,0x99
+
+# CHECK: s_pack_ll_b32_b16 m0, s1, s2    ; encoding: [0x01,0x02,0x7c,0x99]
+0x01,0x02,0x7c,0x99
+
+# CHECK: s_pack_ll_b32_b16 exec_lo, s1, s2    ; encoding: [0x01,0x02,0x7e,0x99]
+0x01,0x02,0x7e,0x99
+
+# CHECK: s_pack_ll_b32_b16 exec_hi, s1, s2    ; encoding: [0x01,0x02,0x7f,0x99]
+0x01,0x02,0x7f,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, s101, s2    ; encoding: [0x65,0x02,0x05,0x99]
+0x65,0x02,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x05,0x99]
+0x66,0x02,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x05,0x99]
+0x67,0x02,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x05,0x99]
+0x6a,0x02,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x05,0x99]
+0x6b,0x02,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, m0, s2    ; encoding: [0x7c,0x02,0x05,0x99]
+0x7c,0x02,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x05,0x99]
+0x7e,0x02,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x05,0x99]
+0x7f,0x02,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, 0, s2    ; encoding: [0x80,0x02,0x05,0x99]
+0x80,0x02,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, -1, s2    ; encoding: [0xc1,0x02,0x05,0x99]
+0xc1,0x02,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x05,0x99]
+0xf0,0x02,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x05,0x99]
+0xf7,0x02,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, 0xfe0b, s2    ; encoding: [0xff,0x02,0x05,0x99,0x0b,0xfe,0x00,0x00]
+0xff,0x02,0x05,0x99,0x0b,0xfe,0x00,0x00
+
+# CHECK: s_pack_ll_b32_b16 s5, 0x3456, s2    ; encoding: [0xff,0x02,0x05,0x99,0x56,0x34,0x00,0x00]
+0xff,0x02,0x05,0x99,0x56,0x34,0x00,0x00
+
+# CHECK: s_pack_ll_b32_b16 s5, s1, s101    ; encoding: [0x01,0x65,0x05,0x99]
+0x01,0x65,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x05,0x99]
+0x01,0x66,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x05,0x99]
+0x01,0x67,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x05,0x99]
+0x01,0x6a,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x05,0x99]
+0x01,0x6b,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, s1, m0    ; encoding: [0x01,0x7c,0x05,0x99]
+0x01,0x7c,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x05,0x99]
+0x01,0x7e,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x05,0x99]
+0x01,0x7f,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, s1, 0    ; encoding: [0x01,0x80,0x05,0x99]
+0x01,0x80,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, s1, -1    ; encoding: [0x01,0xc1,0x05,0x99]
+0x01,0xc1,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x05,0x99]
+0x01,0xf0,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x05,0x99]
+0x01,0xf7,0x05,0x99
+
+# CHECK: s_pack_ll_b32_b16 s5, s1, 0xfe0b    ; encoding: [0x01,0xff,0x05,0x99,0x0b,0xfe,0x00,0x00]
+0x01,0xff,0x05,0x99,0x0b,0xfe,0x00,0x00
+
+# CHECK: s_pack_ll_b32_b16 s5, s1, 0x3456    ; encoding: [0x01,0xff,0x05,0x99,0x56,0x34,0x00,0x00]
+0x01,0xff,0x05,0x99,0x56,0x34,0x00,0x00
+
+# CHECK: s_pack_lh_b32_b16 s5, s1, s2    ; encoding: [0x01,0x02,0x85,0x99]
+0x01,0x02,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s101, s1, s2    ; encoding: [0x01,0x02,0xe5,0x99]
+0x01,0x02,0xe5,0x99
+
+# CHECK: s_pack_lh_b32_b16 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0xe6,0x99]
+0x01,0x02,0xe6,0x99
+
+# CHECK: s_pack_lh_b32_b16 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0xe7,0x99]
+0x01,0x02,0xe7,0x99
+
+# CHECK: s_pack_lh_b32_b16 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0xea,0x99]
+0x01,0x02,0xea,0x99
+
+# CHECK: s_pack_lh_b32_b16 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0xeb,0x99]
+0x01,0x02,0xeb,0x99
+
+# CHECK: s_pack_lh_b32_b16 m0, s1, s2    ; encoding: [0x01,0x02,0xfc,0x99]
+0x01,0x02,0xfc,0x99
+
+# CHECK: s_pack_lh_b32_b16 exec_lo, s1, s2    ; encoding: [0x01,0x02,0xfe,0x99]
+0x01,0x02,0xfe,0x99
+
+# CHECK: s_pack_lh_b32_b16 exec_hi, s1, s2    ; encoding: [0x01,0x02,0xff,0x99]
+0x01,0x02,0xff,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, s101, s2    ; encoding: [0x65,0x02,0x85,0x99]
+0x65,0x02,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x85,0x99]
+0x66,0x02,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x85,0x99]
+0x67,0x02,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x85,0x99]
+0x6a,0x02,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x85,0x99]
+0x6b,0x02,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, m0, s2    ; encoding: [0x7c,0x02,0x85,0x99]
+0x7c,0x02,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x85,0x99]
+0x7e,0x02,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x85,0x99]
+0x7f,0x02,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, 0, s2    ; encoding: [0x80,0x02,0x85,0x99]
+0x80,0x02,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, -1, s2    ; encoding: [0xc1,0x02,0x85,0x99]
+0xc1,0x02,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x85,0x99]
+0xf0,0x02,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x85,0x99]
+0xf7,0x02,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, 0xfe0b, s2    ; encoding: [0xff,0x02,0x85,0x99,0x0b,0xfe,0x00,0x00]
+0xff,0x02,0x85,0x99,0x0b,0xfe,0x00,0x00
+
+# CHECK: s_pack_lh_b32_b16 s5, 0x3456, s2    ; encoding: [0xff,0x02,0x85,0x99,0x56,0x34,0x00,0x00]
+0xff,0x02,0x85,0x99,0x56,0x34,0x00,0x00
+
+# CHECK: s_pack_lh_b32_b16 s5, s1, s101    ; encoding: [0x01,0x65,0x85,0x99]
+0x01,0x65,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x85,0x99]
+0x01,0x66,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x85,0x99]
+0x01,0x67,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x85,0x99]
+0x01,0x6a,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x85,0x99]
+0x01,0x6b,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, s1, m0    ; encoding: [0x01,0x7c,0x85,0x99]
+0x01,0x7c,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x85,0x99]
+0x01,0x7e,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x85,0x99]
+0x01,0x7f,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, s1, 0    ; encoding: [0x01,0x80,0x85,0x99]
+0x01,0x80,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, s1, -1    ; encoding: [0x01,0xc1,0x85,0x99]
+0x01,0xc1,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x85,0x99]
+0x01,0xf0,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x85,0x99]
+0x01,0xf7,0x85,0x99
+
+# CHECK: s_pack_lh_b32_b16 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x85,0x99,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x85,0x99,0x56,0x34,0x12,0xaf
+
+# CHECK: s_pack_lh_b32_b16 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x85,0x99,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x85,0x99,0x73,0x72,0x71,0x3f
+
+# CHECK: s_pack_hh_b32_b16 s5, s1, s2    ; encoding: [0x01,0x02,0x05,0x9a]
+0x01,0x02,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s101, s1, s2    ; encoding: [0x01,0x02,0x65,0x9a]
+0x01,0x02,0x65,0x9a
+
+# CHECK: s_pack_hh_b32_b16 flat_scratch_lo, s1, s2    ; encoding: [0x01,0x02,0x66,0x9a]
+0x01,0x02,0x66,0x9a
+
+# CHECK: s_pack_hh_b32_b16 flat_scratch_hi, s1, s2    ; encoding: [0x01,0x02,0x67,0x9a]
+0x01,0x02,0x67,0x9a
+
+# CHECK: s_pack_hh_b32_b16 vcc_lo, s1, s2    ; encoding: [0x01,0x02,0x6a,0x9a]
+0x01,0x02,0x6a,0x9a
+
+# CHECK: s_pack_hh_b32_b16 vcc_hi, s1, s2    ; encoding: [0x01,0x02,0x6b,0x9a]
+0x01,0x02,0x6b,0x9a
+
+# CHECK: s_pack_hh_b32_b16 m0, s1, s2    ; encoding: [0x01,0x02,0x7c,0x9a]
+0x01,0x02,0x7c,0x9a
+
+# CHECK: s_pack_hh_b32_b16 exec_lo, s1, s2    ; encoding: [0x01,0x02,0x7e,0x9a]
+0x01,0x02,0x7e,0x9a
+
+# CHECK: s_pack_hh_b32_b16 exec_hi, s1, s2    ; encoding: [0x01,0x02,0x7f,0x9a]
+0x01,0x02,0x7f,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, s101, s2    ; encoding: [0x65,0x02,0x05,0x9a]
+0x65,0x02,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x05,0x9a]
+0x66,0x02,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x05,0x9a]
+0x67,0x02,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, vcc_lo, s2    ; encoding: [0x6a,0x02,0x05,0x9a]
+0x6a,0x02,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, vcc_hi, s2    ; encoding: [0x6b,0x02,0x05,0x9a]
+0x6b,0x02,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, m0, s2    ; encoding: [0x7c,0x02,0x05,0x9a]
+0x7c,0x02,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, exec_lo, s2    ; encoding: [0x7e,0x02,0x05,0x9a]
+0x7e,0x02,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, exec_hi, s2    ; encoding: [0x7f,0x02,0x05,0x9a]
+0x7f,0x02,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, 0, s2    ; encoding: [0x80,0x02,0x05,0x9a]
+0x80,0x02,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, -1, s2    ; encoding: [0xc1,0x02,0x05,0x9a]
+0xc1,0x02,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, 0.5, s2    ; encoding: [0xf0,0x02,0x05,0x9a]
+0xf0,0x02,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, -4.0, s2    ; encoding: [0xf7,0x02,0x05,0x9a]
+0xf7,0x02,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, 0xaf123456, s2    ; encoding: [0xff,0x02,0x05,0x9a,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x05,0x9a,0x56,0x34,0x12,0xaf
+
+# CHECK: s_pack_hh_b32_b16 s5, 0x3f717273, s2    ; encoding: [0xff,0x02,0x05,0x9a,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x05,0x9a,0x73,0x72,0x71,0x3f
+
+# CHECK: s_pack_hh_b32_b16 s5, s1, s101    ; encoding: [0x01,0x65,0x05,0x9a]
+0x01,0x65,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x05,0x9a]
+0x01,0x66,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x05,0x9a]
+0x01,0x67,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, s1, vcc_lo    ; encoding: [0x01,0x6a,0x05,0x9a]
+0x01,0x6a,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, s1, vcc_hi    ; encoding: [0x01,0x6b,0x05,0x9a]
+0x01,0x6b,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, s1, m0    ; encoding: [0x01,0x7c,0x05,0x9a]
+0x01,0x7c,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, s1, exec_lo    ; encoding: [0x01,0x7e,0x05,0x9a]
+0x01,0x7e,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, s1, exec_hi    ; encoding: [0x01,0x7f,0x05,0x9a]
+0x01,0x7f,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, s1, 0    ; encoding: [0x01,0x80,0x05,0x9a]
+0x01,0x80,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, s1, -1    ; encoding: [0x01,0xc1,0x05,0x9a]
+0x01,0xc1,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, s1, 0.5    ; encoding: [0x01,0xf0,0x05,0x9a]
+0x01,0xf0,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, s1, -4.0    ; encoding: [0x01,0xf7,0x05,0x9a]
+0x01,0xf7,0x05,0x9a
+
+# CHECK: s_pack_hh_b32_b16 s5, s1, 0xaf123456    ; encoding: [0x01,0xff,0x05,0x9a,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x05,0x9a,0x56,0x34,0x12,0xaf
+
+# CHECK: s_pack_hh_b32_b16 s5, s1, 0x3f717273    ; encoding: [0x01,0xff,0x05,0x9a,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x05,0x9a,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_eq_i32 s1, s2    ; encoding: [0x01,0x02,0x00,0xbf]
+0x01,0x02,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 s101, s2    ; encoding: [0x65,0x02,0x00,0xbf]
+0x65,0x02,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x00,0xbf]
+0x66,0x02,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x00,0xbf]
+0x67,0x02,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 vcc_lo, s2    ; encoding: [0x6a,0x02,0x00,0xbf]
+0x6a,0x02,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 vcc_hi, s2    ; encoding: [0x6b,0x02,0x00,0xbf]
+0x6b,0x02,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 m0, s2    ; encoding: [0x7c,0x02,0x00,0xbf]
+0x7c,0x02,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 exec_lo, s2    ; encoding: [0x7e,0x02,0x00,0xbf]
+0x7e,0x02,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 exec_hi, s2    ; encoding: [0x7f,0x02,0x00,0xbf]
+0x7f,0x02,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 0, s2    ; encoding: [0x80,0x02,0x00,0xbf]
+0x80,0x02,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 -1, s2    ; encoding: [0xc1,0x02,0x00,0xbf]
+0xc1,0x02,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 0.5, s2    ; encoding: [0xf0,0x02,0x00,0xbf]
+0xf0,0x02,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 -4.0, s2    ; encoding: [0xf7,0x02,0x00,0xbf]
+0xf7,0x02,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 0xaf123456, s2    ; encoding: [0xff,0x02,0x00,0xbf,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x00,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_eq_i32 0x3f717273, s2    ; encoding: [0xff,0x02,0x00,0xbf,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x00,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_eq_i32 s1, s101    ; encoding: [0x01,0x65,0x00,0xbf]
+0x01,0x65,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x00,0xbf]
+0x01,0x66,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x00,0xbf]
+0x01,0x67,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 s1, vcc_lo    ; encoding: [0x01,0x6a,0x00,0xbf]
+0x01,0x6a,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 s1, vcc_hi    ; encoding: [0x01,0x6b,0x00,0xbf]
+0x01,0x6b,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 s1, m0    ; encoding: [0x01,0x7c,0x00,0xbf]
+0x01,0x7c,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 s1, exec_lo    ; encoding: [0x01,0x7e,0x00,0xbf]
+0x01,0x7e,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 s1, exec_hi    ; encoding: [0x01,0x7f,0x00,0xbf]
+0x01,0x7f,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 s1, 0    ; encoding: [0x01,0x80,0x00,0xbf]
+0x01,0x80,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 s1, -1    ; encoding: [0x01,0xc1,0x00,0xbf]
+0x01,0xc1,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 s1, 0.5    ; encoding: [0x01,0xf0,0x00,0xbf]
+0x01,0xf0,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 s1, -4.0    ; encoding: [0x01,0xf7,0x00,0xbf]
+0x01,0xf7,0x00,0xbf
+
+# CHECK: s_cmp_eq_i32 s1, 0xaf123456    ; encoding: [0x01,0xff,0x00,0xbf,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x00,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_eq_i32 s1, 0x3f717273    ; encoding: [0x01,0xff,0x00,0xbf,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x00,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_lg_i32 s1, s2    ; encoding: [0x01,0x02,0x01,0xbf]
+0x01,0x02,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 s101, s2    ; encoding: [0x65,0x02,0x01,0xbf]
+0x65,0x02,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x01,0xbf]
+0x66,0x02,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x01,0xbf]
+0x67,0x02,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 vcc_lo, s2    ; encoding: [0x6a,0x02,0x01,0xbf]
+0x6a,0x02,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 vcc_hi, s2    ; encoding: [0x6b,0x02,0x01,0xbf]
+0x6b,0x02,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 m0, s2    ; encoding: [0x7c,0x02,0x01,0xbf]
+0x7c,0x02,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 exec_lo, s2    ; encoding: [0x7e,0x02,0x01,0xbf]
+0x7e,0x02,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 exec_hi, s2    ; encoding: [0x7f,0x02,0x01,0xbf]
+0x7f,0x02,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 0, s2    ; encoding: [0x80,0x02,0x01,0xbf]
+0x80,0x02,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 -1, s2    ; encoding: [0xc1,0x02,0x01,0xbf]
+0xc1,0x02,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 0.5, s2    ; encoding: [0xf0,0x02,0x01,0xbf]
+0xf0,0x02,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 -4.0, s2    ; encoding: [0xf7,0x02,0x01,0xbf]
+0xf7,0x02,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 0xaf123456, s2    ; encoding: [0xff,0x02,0x01,0xbf,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x01,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_lg_i32 0x3f717273, s2    ; encoding: [0xff,0x02,0x01,0xbf,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x01,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_lg_i32 s1, s101    ; encoding: [0x01,0x65,0x01,0xbf]
+0x01,0x65,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x01,0xbf]
+0x01,0x66,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x01,0xbf]
+0x01,0x67,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 s1, vcc_lo    ; encoding: [0x01,0x6a,0x01,0xbf]
+0x01,0x6a,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 s1, vcc_hi    ; encoding: [0x01,0x6b,0x01,0xbf]
+0x01,0x6b,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 s1, m0    ; encoding: [0x01,0x7c,0x01,0xbf]
+0x01,0x7c,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 s1, exec_lo    ; encoding: [0x01,0x7e,0x01,0xbf]
+0x01,0x7e,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 s1, exec_hi    ; encoding: [0x01,0x7f,0x01,0xbf]
+0x01,0x7f,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 s1, 0    ; encoding: [0x01,0x80,0x01,0xbf]
+0x01,0x80,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 s1, -1    ; encoding: [0x01,0xc1,0x01,0xbf]
+0x01,0xc1,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 s1, 0.5    ; encoding: [0x01,0xf0,0x01,0xbf]
+0x01,0xf0,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 s1, -4.0    ; encoding: [0x01,0xf7,0x01,0xbf]
+0x01,0xf7,0x01,0xbf
+
+# CHECK: s_cmp_lg_i32 s1, 0xaf123456    ; encoding: [0x01,0xff,0x01,0xbf,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x01,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_lg_i32 s1, 0x3f717273    ; encoding: [0x01,0xff,0x01,0xbf,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x01,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_gt_i32 s1, s2    ; encoding: [0x01,0x02,0x02,0xbf]
+0x01,0x02,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 s101, s2    ; encoding: [0x65,0x02,0x02,0xbf]
+0x65,0x02,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x02,0xbf]
+0x66,0x02,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x02,0xbf]
+0x67,0x02,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 vcc_lo, s2    ; encoding: [0x6a,0x02,0x02,0xbf]
+0x6a,0x02,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 vcc_hi, s2    ; encoding: [0x6b,0x02,0x02,0xbf]
+0x6b,0x02,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 m0, s2    ; encoding: [0x7c,0x02,0x02,0xbf]
+0x7c,0x02,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 exec_lo, s2    ; encoding: [0x7e,0x02,0x02,0xbf]
+0x7e,0x02,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 exec_hi, s2    ; encoding: [0x7f,0x02,0x02,0xbf]
+0x7f,0x02,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 0, s2    ; encoding: [0x80,0x02,0x02,0xbf]
+0x80,0x02,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 -1, s2    ; encoding: [0xc1,0x02,0x02,0xbf]
+0xc1,0x02,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 0.5, s2    ; encoding: [0xf0,0x02,0x02,0xbf]
+0xf0,0x02,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 -4.0, s2    ; encoding: [0xf7,0x02,0x02,0xbf]
+0xf7,0x02,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 0xaf123456, s2    ; encoding: [0xff,0x02,0x02,0xbf,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x02,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_gt_i32 0x3f717273, s2    ; encoding: [0xff,0x02,0x02,0xbf,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x02,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_gt_i32 s1, s101    ; encoding: [0x01,0x65,0x02,0xbf]
+0x01,0x65,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x02,0xbf]
+0x01,0x66,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x02,0xbf]
+0x01,0x67,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 s1, vcc_lo    ; encoding: [0x01,0x6a,0x02,0xbf]
+0x01,0x6a,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 s1, vcc_hi    ; encoding: [0x01,0x6b,0x02,0xbf]
+0x01,0x6b,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 s1, m0    ; encoding: [0x01,0x7c,0x02,0xbf]
+0x01,0x7c,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 s1, exec_lo    ; encoding: [0x01,0x7e,0x02,0xbf]
+0x01,0x7e,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 s1, exec_hi    ; encoding: [0x01,0x7f,0x02,0xbf]
+0x01,0x7f,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 s1, 0    ; encoding: [0x01,0x80,0x02,0xbf]
+0x01,0x80,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 s1, -1    ; encoding: [0x01,0xc1,0x02,0xbf]
+0x01,0xc1,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 s1, 0.5    ; encoding: [0x01,0xf0,0x02,0xbf]
+0x01,0xf0,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 s1, -4.0    ; encoding: [0x01,0xf7,0x02,0xbf]
+0x01,0xf7,0x02,0xbf
+
+# CHECK: s_cmp_gt_i32 s1, 0xaf123456    ; encoding: [0x01,0xff,0x02,0xbf,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x02,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_gt_i32 s1, 0x3f717273    ; encoding: [0x01,0xff,0x02,0xbf,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x02,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_ge_i32 s1, s2    ; encoding: [0x01,0x02,0x03,0xbf]
+0x01,0x02,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 s101, s2    ; encoding: [0x65,0x02,0x03,0xbf]
+0x65,0x02,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x03,0xbf]
+0x66,0x02,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x03,0xbf]
+0x67,0x02,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 vcc_lo, s2    ; encoding: [0x6a,0x02,0x03,0xbf]
+0x6a,0x02,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 vcc_hi, s2    ; encoding: [0x6b,0x02,0x03,0xbf]
+0x6b,0x02,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 m0, s2    ; encoding: [0x7c,0x02,0x03,0xbf]
+0x7c,0x02,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 exec_lo, s2    ; encoding: [0x7e,0x02,0x03,0xbf]
+0x7e,0x02,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 exec_hi, s2    ; encoding: [0x7f,0x02,0x03,0xbf]
+0x7f,0x02,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 0, s2    ; encoding: [0x80,0x02,0x03,0xbf]
+0x80,0x02,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 -1, s2    ; encoding: [0xc1,0x02,0x03,0xbf]
+0xc1,0x02,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 0.5, s2    ; encoding: [0xf0,0x02,0x03,0xbf]
+0xf0,0x02,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 -4.0, s2    ; encoding: [0xf7,0x02,0x03,0xbf]
+0xf7,0x02,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 0xaf123456, s2    ; encoding: [0xff,0x02,0x03,0xbf,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x03,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_ge_i32 0x3f717273, s2    ; encoding: [0xff,0x02,0x03,0xbf,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x03,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_ge_i32 s1, s101    ; encoding: [0x01,0x65,0x03,0xbf]
+0x01,0x65,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x03,0xbf]
+0x01,0x66,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x03,0xbf]
+0x01,0x67,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 s1, vcc_lo    ; encoding: [0x01,0x6a,0x03,0xbf]
+0x01,0x6a,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 s1, vcc_hi    ; encoding: [0x01,0x6b,0x03,0xbf]
+0x01,0x6b,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 s1, m0    ; encoding: [0x01,0x7c,0x03,0xbf]
+0x01,0x7c,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 s1, exec_lo    ; encoding: [0x01,0x7e,0x03,0xbf]
+0x01,0x7e,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 s1, exec_hi    ; encoding: [0x01,0x7f,0x03,0xbf]
+0x01,0x7f,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 s1, 0    ; encoding: [0x01,0x80,0x03,0xbf]
+0x01,0x80,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 s1, -1    ; encoding: [0x01,0xc1,0x03,0xbf]
+0x01,0xc1,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 s1, 0.5    ; encoding: [0x01,0xf0,0x03,0xbf]
+0x01,0xf0,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 s1, -4.0    ; encoding: [0x01,0xf7,0x03,0xbf]
+0x01,0xf7,0x03,0xbf
+
+# CHECK: s_cmp_ge_i32 s1, 0xaf123456    ; encoding: [0x01,0xff,0x03,0xbf,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x03,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_ge_i32 s1, 0x3f717273    ; encoding: [0x01,0xff,0x03,0xbf,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x03,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_lt_i32 s1, s2    ; encoding: [0x01,0x02,0x04,0xbf]
+0x01,0x02,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 s101, s2    ; encoding: [0x65,0x02,0x04,0xbf]
+0x65,0x02,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x04,0xbf]
+0x66,0x02,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x04,0xbf]
+0x67,0x02,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 vcc_lo, s2    ; encoding: [0x6a,0x02,0x04,0xbf]
+0x6a,0x02,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 vcc_hi, s2    ; encoding: [0x6b,0x02,0x04,0xbf]
+0x6b,0x02,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 m0, s2    ; encoding: [0x7c,0x02,0x04,0xbf]
+0x7c,0x02,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 exec_lo, s2    ; encoding: [0x7e,0x02,0x04,0xbf]
+0x7e,0x02,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 exec_hi, s2    ; encoding: [0x7f,0x02,0x04,0xbf]
+0x7f,0x02,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 0, s2    ; encoding: [0x80,0x02,0x04,0xbf]
+0x80,0x02,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 -1, s2    ; encoding: [0xc1,0x02,0x04,0xbf]
+0xc1,0x02,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 0.5, s2    ; encoding: [0xf0,0x02,0x04,0xbf]
+0xf0,0x02,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 -4.0, s2    ; encoding: [0xf7,0x02,0x04,0xbf]
+0xf7,0x02,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 0xaf123456, s2    ; encoding: [0xff,0x02,0x04,0xbf,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x04,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_lt_i32 0x3f717273, s2    ; encoding: [0xff,0x02,0x04,0xbf,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x04,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_lt_i32 s1, s101    ; encoding: [0x01,0x65,0x04,0xbf]
+0x01,0x65,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x04,0xbf]
+0x01,0x66,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x04,0xbf]
+0x01,0x67,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 s1, vcc_lo    ; encoding: [0x01,0x6a,0x04,0xbf]
+0x01,0x6a,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 s1, vcc_hi    ; encoding: [0x01,0x6b,0x04,0xbf]
+0x01,0x6b,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 s1, m0    ; encoding: [0x01,0x7c,0x04,0xbf]
+0x01,0x7c,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 s1, exec_lo    ; encoding: [0x01,0x7e,0x04,0xbf]
+0x01,0x7e,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 s1, exec_hi    ; encoding: [0x01,0x7f,0x04,0xbf]
+0x01,0x7f,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 s1, 0    ; encoding: [0x01,0x80,0x04,0xbf]
+0x01,0x80,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 s1, -1    ; encoding: [0x01,0xc1,0x04,0xbf]
+0x01,0xc1,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 s1, 0.5    ; encoding: [0x01,0xf0,0x04,0xbf]
+0x01,0xf0,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 s1, -4.0    ; encoding: [0x01,0xf7,0x04,0xbf]
+0x01,0xf7,0x04,0xbf
+
+# CHECK: s_cmp_lt_i32 s1, 0xaf123456    ; encoding: [0x01,0xff,0x04,0xbf,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x04,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_lt_i32 s1, 0x3f717273    ; encoding: [0x01,0xff,0x04,0xbf,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x04,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_le_i32 s1, s2    ; encoding: [0x01,0x02,0x05,0xbf]
+0x01,0x02,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 s101, s2    ; encoding: [0x65,0x02,0x05,0xbf]
+0x65,0x02,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x05,0xbf]
+0x66,0x02,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x05,0xbf]
+0x67,0x02,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 vcc_lo, s2    ; encoding: [0x6a,0x02,0x05,0xbf]
+0x6a,0x02,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 vcc_hi, s2    ; encoding: [0x6b,0x02,0x05,0xbf]
+0x6b,0x02,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 m0, s2    ; encoding: [0x7c,0x02,0x05,0xbf]
+0x7c,0x02,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 exec_lo, s2    ; encoding: [0x7e,0x02,0x05,0xbf]
+0x7e,0x02,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 exec_hi, s2    ; encoding: [0x7f,0x02,0x05,0xbf]
+0x7f,0x02,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 0, s2    ; encoding: [0x80,0x02,0x05,0xbf]
+0x80,0x02,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 -1, s2    ; encoding: [0xc1,0x02,0x05,0xbf]
+0xc1,0x02,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 0.5, s2    ; encoding: [0xf0,0x02,0x05,0xbf]
+0xf0,0x02,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 -4.0, s2    ; encoding: [0xf7,0x02,0x05,0xbf]
+0xf7,0x02,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 0xaf123456, s2    ; encoding: [0xff,0x02,0x05,0xbf,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x05,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_le_i32 0x3f717273, s2    ; encoding: [0xff,0x02,0x05,0xbf,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x05,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_le_i32 s1, s101    ; encoding: [0x01,0x65,0x05,0xbf]
+0x01,0x65,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x05,0xbf]
+0x01,0x66,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x05,0xbf]
+0x01,0x67,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 s1, vcc_lo    ; encoding: [0x01,0x6a,0x05,0xbf]
+0x01,0x6a,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 s1, vcc_hi    ; encoding: [0x01,0x6b,0x05,0xbf]
+0x01,0x6b,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 s1, m0    ; encoding: [0x01,0x7c,0x05,0xbf]
+0x01,0x7c,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 s1, exec_lo    ; encoding: [0x01,0x7e,0x05,0xbf]
+0x01,0x7e,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 s1, exec_hi    ; encoding: [0x01,0x7f,0x05,0xbf]
+0x01,0x7f,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 s1, 0    ; encoding: [0x01,0x80,0x05,0xbf]
+0x01,0x80,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 s1, -1    ; encoding: [0x01,0xc1,0x05,0xbf]
+0x01,0xc1,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 s1, 0.5    ; encoding: [0x01,0xf0,0x05,0xbf]
+0x01,0xf0,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 s1, -4.0    ; encoding: [0x01,0xf7,0x05,0xbf]
+0x01,0xf7,0x05,0xbf
+
+# CHECK: s_cmp_le_i32 s1, 0xaf123456    ; encoding: [0x01,0xff,0x05,0xbf,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x05,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_le_i32 s1, 0x3f717273    ; encoding: [0x01,0xff,0x05,0xbf,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x05,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_eq_u32 s1, s2    ; encoding: [0x01,0x02,0x06,0xbf]
+0x01,0x02,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 s101, s2    ; encoding: [0x65,0x02,0x06,0xbf]
+0x65,0x02,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x06,0xbf]
+0x66,0x02,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x06,0xbf]
+0x67,0x02,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 vcc_lo, s2    ; encoding: [0x6a,0x02,0x06,0xbf]
+0x6a,0x02,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 vcc_hi, s2    ; encoding: [0x6b,0x02,0x06,0xbf]
+0x6b,0x02,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 m0, s2    ; encoding: [0x7c,0x02,0x06,0xbf]
+0x7c,0x02,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 exec_lo, s2    ; encoding: [0x7e,0x02,0x06,0xbf]
+0x7e,0x02,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 exec_hi, s2    ; encoding: [0x7f,0x02,0x06,0xbf]
+0x7f,0x02,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 0, s2    ; encoding: [0x80,0x02,0x06,0xbf]
+0x80,0x02,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 -1, s2    ; encoding: [0xc1,0x02,0x06,0xbf]
+0xc1,0x02,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 0.5, s2    ; encoding: [0xf0,0x02,0x06,0xbf]
+0xf0,0x02,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 -4.0, s2    ; encoding: [0xf7,0x02,0x06,0xbf]
+0xf7,0x02,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 0xaf123456, s2    ; encoding: [0xff,0x02,0x06,0xbf,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x06,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_eq_u32 0x3f717273, s2    ; encoding: [0xff,0x02,0x06,0xbf,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x06,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_eq_u32 s1, s101    ; encoding: [0x01,0x65,0x06,0xbf]
+0x01,0x65,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x06,0xbf]
+0x01,0x66,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x06,0xbf]
+0x01,0x67,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 s1, vcc_lo    ; encoding: [0x01,0x6a,0x06,0xbf]
+0x01,0x6a,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 s1, vcc_hi    ; encoding: [0x01,0x6b,0x06,0xbf]
+0x01,0x6b,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 s1, m0    ; encoding: [0x01,0x7c,0x06,0xbf]
+0x01,0x7c,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 s1, exec_lo    ; encoding: [0x01,0x7e,0x06,0xbf]
+0x01,0x7e,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 s1, exec_hi    ; encoding: [0x01,0x7f,0x06,0xbf]
+0x01,0x7f,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 s1, 0    ; encoding: [0x01,0x80,0x06,0xbf]
+0x01,0x80,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 s1, -1    ; encoding: [0x01,0xc1,0x06,0xbf]
+0x01,0xc1,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 s1, 0.5    ; encoding: [0x01,0xf0,0x06,0xbf]
+0x01,0xf0,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 s1, -4.0    ; encoding: [0x01,0xf7,0x06,0xbf]
+0x01,0xf7,0x06,0xbf
+
+# CHECK: s_cmp_eq_u32 s1, 0xaf123456    ; encoding: [0x01,0xff,0x06,0xbf,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x06,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_eq_u32 s1, 0x3f717273    ; encoding: [0x01,0xff,0x06,0xbf,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x06,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_lg_u32 s1, s2    ; encoding: [0x01,0x02,0x07,0xbf]
+0x01,0x02,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 s101, s2    ; encoding: [0x65,0x02,0x07,0xbf]
+0x65,0x02,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x07,0xbf]
+0x66,0x02,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x07,0xbf]
+0x67,0x02,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 vcc_lo, s2    ; encoding: [0x6a,0x02,0x07,0xbf]
+0x6a,0x02,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 vcc_hi, s2    ; encoding: [0x6b,0x02,0x07,0xbf]
+0x6b,0x02,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 m0, s2    ; encoding: [0x7c,0x02,0x07,0xbf]
+0x7c,0x02,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 exec_lo, s2    ; encoding: [0x7e,0x02,0x07,0xbf]
+0x7e,0x02,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 exec_hi, s2    ; encoding: [0x7f,0x02,0x07,0xbf]
+0x7f,0x02,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 0, s2    ; encoding: [0x80,0x02,0x07,0xbf]
+0x80,0x02,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 -1, s2    ; encoding: [0xc1,0x02,0x07,0xbf]
+0xc1,0x02,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 0.5, s2    ; encoding: [0xf0,0x02,0x07,0xbf]
+0xf0,0x02,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 -4.0, s2    ; encoding: [0xf7,0x02,0x07,0xbf]
+0xf7,0x02,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 0xaf123456, s2    ; encoding: [0xff,0x02,0x07,0xbf,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x07,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_lg_u32 0x3f717273, s2    ; encoding: [0xff,0x02,0x07,0xbf,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x07,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_lg_u32 s1, s101    ; encoding: [0x01,0x65,0x07,0xbf]
+0x01,0x65,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x07,0xbf]
+0x01,0x66,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x07,0xbf]
+0x01,0x67,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 s1, vcc_lo    ; encoding: [0x01,0x6a,0x07,0xbf]
+0x01,0x6a,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 s1, vcc_hi    ; encoding: [0x01,0x6b,0x07,0xbf]
+0x01,0x6b,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 s1, m0    ; encoding: [0x01,0x7c,0x07,0xbf]
+0x01,0x7c,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 s1, exec_lo    ; encoding: [0x01,0x7e,0x07,0xbf]
+0x01,0x7e,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 s1, exec_hi    ; encoding: [0x01,0x7f,0x07,0xbf]
+0x01,0x7f,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 s1, 0    ; encoding: [0x01,0x80,0x07,0xbf]
+0x01,0x80,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 s1, -1    ; encoding: [0x01,0xc1,0x07,0xbf]
+0x01,0xc1,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 s1, 0.5    ; encoding: [0x01,0xf0,0x07,0xbf]
+0x01,0xf0,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 s1, -4.0    ; encoding: [0x01,0xf7,0x07,0xbf]
+0x01,0xf7,0x07,0xbf
+
+# CHECK: s_cmp_lg_u32 s1, 0xaf123456    ; encoding: [0x01,0xff,0x07,0xbf,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x07,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_lg_u32 s1, 0x3f717273    ; encoding: [0x01,0xff,0x07,0xbf,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x07,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_gt_u32 s1, s2    ; encoding: [0x01,0x02,0x08,0xbf]
+0x01,0x02,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 s101, s2    ; encoding: [0x65,0x02,0x08,0xbf]
+0x65,0x02,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x08,0xbf]
+0x66,0x02,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x08,0xbf]
+0x67,0x02,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 vcc_lo, s2    ; encoding: [0x6a,0x02,0x08,0xbf]
+0x6a,0x02,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 vcc_hi, s2    ; encoding: [0x6b,0x02,0x08,0xbf]
+0x6b,0x02,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 m0, s2    ; encoding: [0x7c,0x02,0x08,0xbf]
+0x7c,0x02,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 exec_lo, s2    ; encoding: [0x7e,0x02,0x08,0xbf]
+0x7e,0x02,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 exec_hi, s2    ; encoding: [0x7f,0x02,0x08,0xbf]
+0x7f,0x02,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 0, s2    ; encoding: [0x80,0x02,0x08,0xbf]
+0x80,0x02,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 -1, s2    ; encoding: [0xc1,0x02,0x08,0xbf]
+0xc1,0x02,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 0.5, s2    ; encoding: [0xf0,0x02,0x08,0xbf]
+0xf0,0x02,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 -4.0, s2    ; encoding: [0xf7,0x02,0x08,0xbf]
+0xf7,0x02,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 0xaf123456, s2    ; encoding: [0xff,0x02,0x08,0xbf,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x08,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_gt_u32 0x3f717273, s2    ; encoding: [0xff,0x02,0x08,0xbf,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x08,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_gt_u32 s1, s101    ; encoding: [0x01,0x65,0x08,0xbf]
+0x01,0x65,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x08,0xbf]
+0x01,0x66,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x08,0xbf]
+0x01,0x67,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 s1, vcc_lo    ; encoding: [0x01,0x6a,0x08,0xbf]
+0x01,0x6a,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 s1, vcc_hi    ; encoding: [0x01,0x6b,0x08,0xbf]
+0x01,0x6b,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 s1, m0    ; encoding: [0x01,0x7c,0x08,0xbf]
+0x01,0x7c,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 s1, exec_lo    ; encoding: [0x01,0x7e,0x08,0xbf]
+0x01,0x7e,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 s1, exec_hi    ; encoding: [0x01,0x7f,0x08,0xbf]
+0x01,0x7f,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 s1, 0    ; encoding: [0x01,0x80,0x08,0xbf]
+0x01,0x80,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 s1, -1    ; encoding: [0x01,0xc1,0x08,0xbf]
+0x01,0xc1,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 s1, 0.5    ; encoding: [0x01,0xf0,0x08,0xbf]
+0x01,0xf0,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 s1, -4.0    ; encoding: [0x01,0xf7,0x08,0xbf]
+0x01,0xf7,0x08,0xbf
+
+# CHECK: s_cmp_gt_u32 s1, 0xaf123456    ; encoding: [0x01,0xff,0x08,0xbf,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x08,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_gt_u32 s1, 0x3f717273    ; encoding: [0x01,0xff,0x08,0xbf,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x08,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_ge_u32 s1, s2    ; encoding: [0x01,0x02,0x09,0xbf]
+0x01,0x02,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 s101, s2    ; encoding: [0x65,0x02,0x09,0xbf]
+0x65,0x02,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x09,0xbf]
+0x66,0x02,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x09,0xbf]
+0x67,0x02,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 vcc_lo, s2    ; encoding: [0x6a,0x02,0x09,0xbf]
+0x6a,0x02,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 vcc_hi, s2    ; encoding: [0x6b,0x02,0x09,0xbf]
+0x6b,0x02,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 m0, s2    ; encoding: [0x7c,0x02,0x09,0xbf]
+0x7c,0x02,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 exec_lo, s2    ; encoding: [0x7e,0x02,0x09,0xbf]
+0x7e,0x02,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 exec_hi, s2    ; encoding: [0x7f,0x02,0x09,0xbf]
+0x7f,0x02,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 0, s2    ; encoding: [0x80,0x02,0x09,0xbf]
+0x80,0x02,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 -1, s2    ; encoding: [0xc1,0x02,0x09,0xbf]
+0xc1,0x02,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 0.5, s2    ; encoding: [0xf0,0x02,0x09,0xbf]
+0xf0,0x02,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 -4.0, s2    ; encoding: [0xf7,0x02,0x09,0xbf]
+0xf7,0x02,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 0xaf123456, s2    ; encoding: [0xff,0x02,0x09,0xbf,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x09,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_ge_u32 0x3f717273, s2    ; encoding: [0xff,0x02,0x09,0xbf,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x09,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_ge_u32 s1, s101    ; encoding: [0x01,0x65,0x09,0xbf]
+0x01,0x65,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x09,0xbf]
+0x01,0x66,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x09,0xbf]
+0x01,0x67,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 s1, vcc_lo    ; encoding: [0x01,0x6a,0x09,0xbf]
+0x01,0x6a,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 s1, vcc_hi    ; encoding: [0x01,0x6b,0x09,0xbf]
+0x01,0x6b,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 s1, m0    ; encoding: [0x01,0x7c,0x09,0xbf]
+0x01,0x7c,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 s1, exec_lo    ; encoding: [0x01,0x7e,0x09,0xbf]
+0x01,0x7e,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 s1, exec_hi    ; encoding: [0x01,0x7f,0x09,0xbf]
+0x01,0x7f,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 s1, 0    ; encoding: [0x01,0x80,0x09,0xbf]
+0x01,0x80,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 s1, -1    ; encoding: [0x01,0xc1,0x09,0xbf]
+0x01,0xc1,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 s1, 0.5    ; encoding: [0x01,0xf0,0x09,0xbf]
+0x01,0xf0,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 s1, -4.0    ; encoding: [0x01,0xf7,0x09,0xbf]
+0x01,0xf7,0x09,0xbf
+
+# CHECK: s_cmp_ge_u32 s1, 0xaf123456    ; encoding: [0x01,0xff,0x09,0xbf,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x09,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_ge_u32 s1, 0x3f717273    ; encoding: [0x01,0xff,0x09,0xbf,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x09,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_lt_u32 s1, s2    ; encoding: [0x01,0x02,0x0a,0xbf]
+0x01,0x02,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 s101, s2    ; encoding: [0x65,0x02,0x0a,0xbf]
+0x65,0x02,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x0a,0xbf]
+0x66,0x02,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x0a,0xbf]
+0x67,0x02,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 vcc_lo, s2    ; encoding: [0x6a,0x02,0x0a,0xbf]
+0x6a,0x02,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 vcc_hi, s2    ; encoding: [0x6b,0x02,0x0a,0xbf]
+0x6b,0x02,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 m0, s2    ; encoding: [0x7c,0x02,0x0a,0xbf]
+0x7c,0x02,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 exec_lo, s2    ; encoding: [0x7e,0x02,0x0a,0xbf]
+0x7e,0x02,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 exec_hi, s2    ; encoding: [0x7f,0x02,0x0a,0xbf]
+0x7f,0x02,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 0, s2    ; encoding: [0x80,0x02,0x0a,0xbf]
+0x80,0x02,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 -1, s2    ; encoding: [0xc1,0x02,0x0a,0xbf]
+0xc1,0x02,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 0.5, s2    ; encoding: [0xf0,0x02,0x0a,0xbf]
+0xf0,0x02,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 -4.0, s2    ; encoding: [0xf7,0x02,0x0a,0xbf]
+0xf7,0x02,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 0xaf123456, s2    ; encoding: [0xff,0x02,0x0a,0xbf,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x0a,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_lt_u32 0x3f717273, s2    ; encoding: [0xff,0x02,0x0a,0xbf,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x0a,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_lt_u32 s1, s101    ; encoding: [0x01,0x65,0x0a,0xbf]
+0x01,0x65,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x0a,0xbf]
+0x01,0x66,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x0a,0xbf]
+0x01,0x67,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 s1, vcc_lo    ; encoding: [0x01,0x6a,0x0a,0xbf]
+0x01,0x6a,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 s1, vcc_hi    ; encoding: [0x01,0x6b,0x0a,0xbf]
+0x01,0x6b,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 s1, m0    ; encoding: [0x01,0x7c,0x0a,0xbf]
+0x01,0x7c,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 s1, exec_lo    ; encoding: [0x01,0x7e,0x0a,0xbf]
+0x01,0x7e,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 s1, exec_hi    ; encoding: [0x01,0x7f,0x0a,0xbf]
+0x01,0x7f,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 s1, 0    ; encoding: [0x01,0x80,0x0a,0xbf]
+0x01,0x80,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 s1, -1    ; encoding: [0x01,0xc1,0x0a,0xbf]
+0x01,0xc1,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 s1, 0.5    ; encoding: [0x01,0xf0,0x0a,0xbf]
+0x01,0xf0,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 s1, -4.0    ; encoding: [0x01,0xf7,0x0a,0xbf]
+0x01,0xf7,0x0a,0xbf
+
+# CHECK: s_cmp_lt_u32 s1, 0xaf123456    ; encoding: [0x01,0xff,0x0a,0xbf,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x0a,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_lt_u32 s1, 0x3f717273    ; encoding: [0x01,0xff,0x0a,0xbf,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x0a,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_le_u32 s1, s2    ; encoding: [0x01,0x02,0x0b,0xbf]
+0x01,0x02,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 s101, s2    ; encoding: [0x65,0x02,0x0b,0xbf]
+0x65,0x02,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x0b,0xbf]
+0x66,0x02,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x0b,0xbf]
+0x67,0x02,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 vcc_lo, s2    ; encoding: [0x6a,0x02,0x0b,0xbf]
+0x6a,0x02,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 vcc_hi, s2    ; encoding: [0x6b,0x02,0x0b,0xbf]
+0x6b,0x02,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 m0, s2    ; encoding: [0x7c,0x02,0x0b,0xbf]
+0x7c,0x02,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 exec_lo, s2    ; encoding: [0x7e,0x02,0x0b,0xbf]
+0x7e,0x02,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 exec_hi, s2    ; encoding: [0x7f,0x02,0x0b,0xbf]
+0x7f,0x02,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 0, s2    ; encoding: [0x80,0x02,0x0b,0xbf]
+0x80,0x02,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 -1, s2    ; encoding: [0xc1,0x02,0x0b,0xbf]
+0xc1,0x02,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 0.5, s2    ; encoding: [0xf0,0x02,0x0b,0xbf]
+0xf0,0x02,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 -4.0, s2    ; encoding: [0xf7,0x02,0x0b,0xbf]
+0xf7,0x02,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 0xaf123456, s2    ; encoding: [0xff,0x02,0x0b,0xbf,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x0b,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_le_u32 0x3f717273, s2    ; encoding: [0xff,0x02,0x0b,0xbf,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x0b,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_le_u32 s1, s101    ; encoding: [0x01,0x65,0x0b,0xbf]
+0x01,0x65,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x0b,0xbf]
+0x01,0x66,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x0b,0xbf]
+0x01,0x67,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 s1, vcc_lo    ; encoding: [0x01,0x6a,0x0b,0xbf]
+0x01,0x6a,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 s1, vcc_hi    ; encoding: [0x01,0x6b,0x0b,0xbf]
+0x01,0x6b,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 s1, m0    ; encoding: [0x01,0x7c,0x0b,0xbf]
+0x01,0x7c,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 s1, exec_lo    ; encoding: [0x01,0x7e,0x0b,0xbf]
+0x01,0x7e,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 s1, exec_hi    ; encoding: [0x01,0x7f,0x0b,0xbf]
+0x01,0x7f,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 s1, 0    ; encoding: [0x01,0x80,0x0b,0xbf]
+0x01,0x80,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 s1, -1    ; encoding: [0x01,0xc1,0x0b,0xbf]
+0x01,0xc1,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 s1, 0.5    ; encoding: [0x01,0xf0,0x0b,0xbf]
+0x01,0xf0,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 s1, -4.0    ; encoding: [0x01,0xf7,0x0b,0xbf]
+0x01,0xf7,0x0b,0xbf
+
+# CHECK: s_cmp_le_u32 s1, 0xaf123456    ; encoding: [0x01,0xff,0x0b,0xbf,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x0b,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_le_u32 s1, 0x3f717273    ; encoding: [0x01,0xff,0x0b,0xbf,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x0b,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bitcmp0_b32 s1, s2    ; encoding: [0x01,0x02,0x0c,0xbf]
+0x01,0x02,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 s101, s2    ; encoding: [0x65,0x02,0x0c,0xbf]
+0x65,0x02,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x0c,0xbf]
+0x66,0x02,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x0c,0xbf]
+0x67,0x02,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 vcc_lo, s2    ; encoding: [0x6a,0x02,0x0c,0xbf]
+0x6a,0x02,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 vcc_hi, s2    ; encoding: [0x6b,0x02,0x0c,0xbf]
+0x6b,0x02,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 m0, s2    ; encoding: [0x7c,0x02,0x0c,0xbf]
+0x7c,0x02,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 exec_lo, s2    ; encoding: [0x7e,0x02,0x0c,0xbf]
+0x7e,0x02,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 exec_hi, s2    ; encoding: [0x7f,0x02,0x0c,0xbf]
+0x7f,0x02,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 0, s2    ; encoding: [0x80,0x02,0x0c,0xbf]
+0x80,0x02,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 -1, s2    ; encoding: [0xc1,0x02,0x0c,0xbf]
+0xc1,0x02,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 0.5, s2    ; encoding: [0xf0,0x02,0x0c,0xbf]
+0xf0,0x02,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 -4.0, s2    ; encoding: [0xf7,0x02,0x0c,0xbf]
+0xf7,0x02,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 0xaf123456, s2    ; encoding: [0xff,0x02,0x0c,0xbf,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x0c,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bitcmp0_b32 0x3f717273, s2    ; encoding: [0xff,0x02,0x0c,0xbf,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x0c,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bitcmp0_b32 s1, s101    ; encoding: [0x01,0x65,0x0c,0xbf]
+0x01,0x65,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x0c,0xbf]
+0x01,0x66,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x0c,0xbf]
+0x01,0x67,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 s1, vcc_lo    ; encoding: [0x01,0x6a,0x0c,0xbf]
+0x01,0x6a,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 s1, vcc_hi    ; encoding: [0x01,0x6b,0x0c,0xbf]
+0x01,0x6b,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 s1, m0    ; encoding: [0x01,0x7c,0x0c,0xbf]
+0x01,0x7c,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 s1, exec_lo    ; encoding: [0x01,0x7e,0x0c,0xbf]
+0x01,0x7e,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 s1, exec_hi    ; encoding: [0x01,0x7f,0x0c,0xbf]
+0x01,0x7f,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 s1, 0    ; encoding: [0x01,0x80,0x0c,0xbf]
+0x01,0x80,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 s1, -1    ; encoding: [0x01,0xc1,0x0c,0xbf]
+0x01,0xc1,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 s1, 0.5    ; encoding: [0x01,0xf0,0x0c,0xbf]
+0x01,0xf0,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 s1, -4.0    ; encoding: [0x01,0xf7,0x0c,0xbf]
+0x01,0xf7,0x0c,0xbf
+
+# CHECK: s_bitcmp0_b32 s1, 0xaf123456    ; encoding: [0x01,0xff,0x0c,0xbf,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x0c,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bitcmp0_b32 s1, 0x3f717273    ; encoding: [0x01,0xff,0x0c,0xbf,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x0c,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bitcmp1_b32 s1, s2    ; encoding: [0x01,0x02,0x0d,0xbf]
+0x01,0x02,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 s101, s2    ; encoding: [0x65,0x02,0x0d,0xbf]
+0x65,0x02,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x0d,0xbf]
+0x66,0x02,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x0d,0xbf]
+0x67,0x02,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 vcc_lo, s2    ; encoding: [0x6a,0x02,0x0d,0xbf]
+0x6a,0x02,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 vcc_hi, s2    ; encoding: [0x6b,0x02,0x0d,0xbf]
+0x6b,0x02,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 m0, s2    ; encoding: [0x7c,0x02,0x0d,0xbf]
+0x7c,0x02,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 exec_lo, s2    ; encoding: [0x7e,0x02,0x0d,0xbf]
+0x7e,0x02,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 exec_hi, s2    ; encoding: [0x7f,0x02,0x0d,0xbf]
+0x7f,0x02,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 0, s2    ; encoding: [0x80,0x02,0x0d,0xbf]
+0x80,0x02,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 -1, s2    ; encoding: [0xc1,0x02,0x0d,0xbf]
+0xc1,0x02,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 0.5, s2    ; encoding: [0xf0,0x02,0x0d,0xbf]
+0xf0,0x02,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 -4.0, s2    ; encoding: [0xf7,0x02,0x0d,0xbf]
+0xf7,0x02,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 0xaf123456, s2    ; encoding: [0xff,0x02,0x0d,0xbf,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x0d,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bitcmp1_b32 0x3f717273, s2    ; encoding: [0xff,0x02,0x0d,0xbf,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x0d,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bitcmp1_b32 s1, s101    ; encoding: [0x01,0x65,0x0d,0xbf]
+0x01,0x65,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x0d,0xbf]
+0x01,0x66,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x0d,0xbf]
+0x01,0x67,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 s1, vcc_lo    ; encoding: [0x01,0x6a,0x0d,0xbf]
+0x01,0x6a,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 s1, vcc_hi    ; encoding: [0x01,0x6b,0x0d,0xbf]
+0x01,0x6b,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 s1, m0    ; encoding: [0x01,0x7c,0x0d,0xbf]
+0x01,0x7c,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 s1, exec_lo    ; encoding: [0x01,0x7e,0x0d,0xbf]
+0x01,0x7e,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 s1, exec_hi    ; encoding: [0x01,0x7f,0x0d,0xbf]
+0x01,0x7f,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 s1, 0    ; encoding: [0x01,0x80,0x0d,0xbf]
+0x01,0x80,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 s1, -1    ; encoding: [0x01,0xc1,0x0d,0xbf]
+0x01,0xc1,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 s1, 0.5    ; encoding: [0x01,0xf0,0x0d,0xbf]
+0x01,0xf0,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 s1, -4.0    ; encoding: [0x01,0xf7,0x0d,0xbf]
+0x01,0xf7,0x0d,0xbf
+
+# CHECK: s_bitcmp1_b32 s1, 0xaf123456    ; encoding: [0x01,0xff,0x0d,0xbf,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x0d,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bitcmp1_b32 s1, 0x3f717273    ; encoding: [0x01,0xff,0x0d,0xbf,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x0d,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bitcmp0_b64 s[2:3], s2    ; encoding: [0x02,0x02,0x0e,0xbf]
+0x02,0x02,0x0e,0xbf
+
+# CHECK: s_bitcmp0_b64 s[4:5], s2    ; encoding: [0x04,0x02,0x0e,0xbf]
+0x04,0x02,0x0e,0xbf
+
+# CHECK: s_bitcmp0_b64 s[100:101], s2    ; encoding: [0x64,0x02,0x0e,0xbf]
+0x64,0x02,0x0e,0xbf
+
+# CHECK: s_bitcmp0_b64 flat_scratch, s2    ; encoding: [0x66,0x02,0x0e,0xbf]
+0x66,0x02,0x0e,0xbf
+
+# CHECK: s_bitcmp0_b64 vcc, s2    ; encoding: [0x6a,0x02,0x0e,0xbf]
+0x6a,0x02,0x0e,0xbf
+
+# CHECK: s_bitcmp0_b64 exec, s2    ; encoding: [0x7e,0x02,0x0e,0xbf]
+0x7e,0x02,0x0e,0xbf
+
+# CHECK: s_bitcmp0_b64 0, s2    ; encoding: [0x80,0x02,0x0e,0xbf]
+0x80,0x02,0x0e,0xbf
+
+# CHECK: s_bitcmp0_b64 -1, s2    ; encoding: [0xc1,0x02,0x0e,0xbf]
+0xc1,0x02,0x0e,0xbf
+
+# CHECK: s_bitcmp0_b64 0.5, s2    ; encoding: [0xf0,0x02,0x0e,0xbf]
+0xf0,0x02,0x0e,0xbf
+
+# CHECK: s_bitcmp0_b64 -4.0, s2    ; encoding: [0xf7,0x02,0x0e,0xbf]
+0xf7,0x02,0x0e,0xbf
+
+# CHECK: s_bitcmp0_b64 0xaf123456, s2    ; encoding: [0xff,0x02,0x0e,0xbf,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x0e,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bitcmp0_b64 0x3f717273, s2    ; encoding: [0xff,0x02,0x0e,0xbf,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x0e,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bitcmp0_b64 s[2:3], s101    ; encoding: [0x02,0x65,0x0e,0xbf]
+0x02,0x65,0x0e,0xbf
+
+# CHECK: s_bitcmp0_b64 s[2:3], flat_scratch_lo    ; encoding: [0x02,0x66,0x0e,0xbf]
+0x02,0x66,0x0e,0xbf
+
+# CHECK: s_bitcmp0_b64 s[2:3], flat_scratch_hi    ; encoding: [0x02,0x67,0x0e,0xbf]
+0x02,0x67,0x0e,0xbf
+
+# CHECK: s_bitcmp0_b64 s[2:3], vcc_lo    ; encoding: [0x02,0x6a,0x0e,0xbf]
+0x02,0x6a,0x0e,0xbf
+
+# CHECK: s_bitcmp0_b64 s[2:3], vcc_hi    ; encoding: [0x02,0x6b,0x0e,0xbf]
+0x02,0x6b,0x0e,0xbf
+
+# CHECK: s_bitcmp0_b64 s[2:3], m0    ; encoding: [0x02,0x7c,0x0e,0xbf]
+0x02,0x7c,0x0e,0xbf
+
+# CHECK: s_bitcmp0_b64 s[2:3], exec_lo    ; encoding: [0x02,0x7e,0x0e,0xbf]
+0x02,0x7e,0x0e,0xbf
+
+# CHECK: s_bitcmp0_b64 s[2:3], exec_hi    ; encoding: [0x02,0x7f,0x0e,0xbf]
+0x02,0x7f,0x0e,0xbf
+
+# CHECK: s_bitcmp0_b64 s[2:3], 0    ; encoding: [0x02,0x80,0x0e,0xbf]
+0x02,0x80,0x0e,0xbf
+
+# CHECK: s_bitcmp0_b64 s[2:3], -1    ; encoding: [0x02,0xc1,0x0e,0xbf]
+0x02,0xc1,0x0e,0xbf
+
+# CHECK: s_bitcmp0_b64 s[2:3], 0.5    ; encoding: [0x02,0xf0,0x0e,0xbf]
+0x02,0xf0,0x0e,0xbf
+
+# CHECK: s_bitcmp0_b64 s[2:3], -4.0    ; encoding: [0x02,0xf7,0x0e,0xbf]
+0x02,0xf7,0x0e,0xbf
+
+# CHECK: s_bitcmp0_b64 s[2:3], 0xaf123456    ; encoding: [0x02,0xff,0x0e,0xbf,0x56,0x34,0x12,0xaf]
+0x02,0xff,0x0e,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bitcmp0_b64 s[2:3], 0x3f717273    ; encoding: [0x02,0xff,0x0e,0xbf,0x73,0x72,0x71,0x3f]
+0x02,0xff,0x0e,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bitcmp1_b64 s[2:3], s2    ; encoding: [0x02,0x02,0x0f,0xbf]
+0x02,0x02,0x0f,0xbf
+
+# CHECK: s_bitcmp1_b64 s[4:5], s2    ; encoding: [0x04,0x02,0x0f,0xbf]
+0x04,0x02,0x0f,0xbf
+
+# CHECK: s_bitcmp1_b64 s[100:101], s2    ; encoding: [0x64,0x02,0x0f,0xbf]
+0x64,0x02,0x0f,0xbf
+
+# CHECK: s_bitcmp1_b64 flat_scratch, s2    ; encoding: [0x66,0x02,0x0f,0xbf]
+0x66,0x02,0x0f,0xbf
+
+# CHECK: s_bitcmp1_b64 vcc, s2    ; encoding: [0x6a,0x02,0x0f,0xbf]
+0x6a,0x02,0x0f,0xbf
+
+# CHECK: s_bitcmp1_b64 exec, s2    ; encoding: [0x7e,0x02,0x0f,0xbf]
+0x7e,0x02,0x0f,0xbf
+
+# CHECK: s_bitcmp1_b64 0, s2    ; encoding: [0x80,0x02,0x0f,0xbf]
+0x80,0x02,0x0f,0xbf
+
+# CHECK: s_bitcmp1_b64 -1, s2    ; encoding: [0xc1,0x02,0x0f,0xbf]
+0xc1,0x02,0x0f,0xbf
+
+# CHECK: s_bitcmp1_b64 0.5, s2    ; encoding: [0xf0,0x02,0x0f,0xbf]
+0xf0,0x02,0x0f,0xbf
+
+# CHECK: s_bitcmp1_b64 -4.0, s2    ; encoding: [0xf7,0x02,0x0f,0xbf]
+0xf7,0x02,0x0f,0xbf
+
+# CHECK: s_bitcmp1_b64 0xaf123456, s2    ; encoding: [0xff,0x02,0x0f,0xbf,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x0f,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bitcmp1_b64 0x3f717273, s2    ; encoding: [0xff,0x02,0x0f,0xbf,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x0f,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_bitcmp1_b64 s[2:3], s101    ; encoding: [0x02,0x65,0x0f,0xbf]
+0x02,0x65,0x0f,0xbf
+
+# CHECK: s_bitcmp1_b64 s[2:3], flat_scratch_lo    ; encoding: [0x02,0x66,0x0f,0xbf]
+0x02,0x66,0x0f,0xbf
+
+# CHECK: s_bitcmp1_b64 s[2:3], flat_scratch_hi    ; encoding: [0x02,0x67,0x0f,0xbf]
+0x02,0x67,0x0f,0xbf
+
+# CHECK: s_bitcmp1_b64 s[2:3], vcc_lo    ; encoding: [0x02,0x6a,0x0f,0xbf]
+0x02,0x6a,0x0f,0xbf
+
+# CHECK: s_bitcmp1_b64 s[2:3], vcc_hi    ; encoding: [0x02,0x6b,0x0f,0xbf]
+0x02,0x6b,0x0f,0xbf
+
+# CHECK: s_bitcmp1_b64 s[2:3], m0    ; encoding: [0x02,0x7c,0x0f,0xbf]
+0x02,0x7c,0x0f,0xbf
+
+# CHECK: s_bitcmp1_b64 s[2:3], exec_lo    ; encoding: [0x02,0x7e,0x0f,0xbf]
+0x02,0x7e,0x0f,0xbf
+
+# CHECK: s_bitcmp1_b64 s[2:3], exec_hi    ; encoding: [0x02,0x7f,0x0f,0xbf]
+0x02,0x7f,0x0f,0xbf
+
+# CHECK: s_bitcmp1_b64 s[2:3], 0    ; encoding: [0x02,0x80,0x0f,0xbf]
+0x02,0x80,0x0f,0xbf
+
+# CHECK: s_bitcmp1_b64 s[2:3], -1    ; encoding: [0x02,0xc1,0x0f,0xbf]
+0x02,0xc1,0x0f,0xbf
+
+# CHECK: s_bitcmp1_b64 s[2:3], 0.5    ; encoding: [0x02,0xf0,0x0f,0xbf]
+0x02,0xf0,0x0f,0xbf
+
+# CHECK: s_bitcmp1_b64 s[2:3], -4.0    ; encoding: [0x02,0xf7,0x0f,0xbf]
+0x02,0xf7,0x0f,0xbf
+
+# CHECK: s_bitcmp1_b64 s[2:3], 0xaf123456    ; encoding: [0x02,0xff,0x0f,0xbf,0x56,0x34,0x12,0xaf]
+0x02,0xff,0x0f,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_bitcmp1_b64 s[2:3], 0x3f717273    ; encoding: [0x02,0xff,0x0f,0xbf,0x73,0x72,0x71,0x3f]
+0x02,0xff,0x0f,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_setvskip s1, s2    ; encoding: [0x01,0x02,0x10,0xbf]
+0x01,0x02,0x10,0xbf
+
+# CHECK: s_setvskip s101, s2    ; encoding: [0x65,0x02,0x10,0xbf]
+0x65,0x02,0x10,0xbf
+
+# CHECK: s_setvskip flat_scratch_lo, s2    ; encoding: [0x66,0x02,0x10,0xbf]
+0x66,0x02,0x10,0xbf
+
+# CHECK: s_setvskip flat_scratch_hi, s2    ; encoding: [0x67,0x02,0x10,0xbf]
+0x67,0x02,0x10,0xbf
+
+# CHECK: s_setvskip vcc_lo, s2    ; encoding: [0x6a,0x02,0x10,0xbf]
+0x6a,0x02,0x10,0xbf
+
+# CHECK: s_setvskip vcc_hi, s2    ; encoding: [0x6b,0x02,0x10,0xbf]
+0x6b,0x02,0x10,0xbf
+
+# CHECK: s_setvskip m0, s2    ; encoding: [0x7c,0x02,0x10,0xbf]
+0x7c,0x02,0x10,0xbf
+
+# CHECK: s_setvskip exec_lo, s2    ; encoding: [0x7e,0x02,0x10,0xbf]
+0x7e,0x02,0x10,0xbf
+
+# CHECK: s_setvskip exec_hi, s2    ; encoding: [0x7f,0x02,0x10,0xbf]
+0x7f,0x02,0x10,0xbf
+
+# CHECK: s_setvskip 0, s2    ; encoding: [0x80,0x02,0x10,0xbf]
+0x80,0x02,0x10,0xbf
+
+# CHECK: s_setvskip -1, s2    ; encoding: [0xc1,0x02,0x10,0xbf]
+0xc1,0x02,0x10,0xbf
+
+# CHECK: s_setvskip 0.5, s2    ; encoding: [0xf0,0x02,0x10,0xbf]
+0xf0,0x02,0x10,0xbf
+
+# CHECK: s_setvskip -4.0, s2    ; encoding: [0xf7,0x02,0x10,0xbf]
+0xf7,0x02,0x10,0xbf
+
+# CHECK: s_setvskip 0xaf123456, s2    ; encoding: [0xff,0x02,0x10,0xbf,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x10,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_setvskip 0x3f717273, s2    ; encoding: [0xff,0x02,0x10,0xbf,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x10,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_setvskip s1, s101    ; encoding: [0x01,0x65,0x10,0xbf]
+0x01,0x65,0x10,0xbf
+
+# CHECK: s_setvskip s1, flat_scratch_lo    ; encoding: [0x01,0x66,0x10,0xbf]
+0x01,0x66,0x10,0xbf
+
+# CHECK: s_setvskip s1, flat_scratch_hi    ; encoding: [0x01,0x67,0x10,0xbf]
+0x01,0x67,0x10,0xbf
+
+# CHECK: s_setvskip s1, vcc_lo    ; encoding: [0x01,0x6a,0x10,0xbf]
+0x01,0x6a,0x10,0xbf
+
+# CHECK: s_setvskip s1, vcc_hi    ; encoding: [0x01,0x6b,0x10,0xbf]
+0x01,0x6b,0x10,0xbf
+
+# CHECK: s_setvskip s1, m0    ; encoding: [0x01,0x7c,0x10,0xbf]
+0x01,0x7c,0x10,0xbf
+
+# CHECK: s_setvskip s1, exec_lo    ; encoding: [0x01,0x7e,0x10,0xbf]
+0x01,0x7e,0x10,0xbf
+
+# CHECK: s_setvskip s1, exec_hi    ; encoding: [0x01,0x7f,0x10,0xbf]
+0x01,0x7f,0x10,0xbf
+
+# CHECK: s_setvskip s1, 0    ; encoding: [0x01,0x80,0x10,0xbf]
+0x01,0x80,0x10,0xbf
+
+# CHECK: s_setvskip s1, -1    ; encoding: [0x01,0xc1,0x10,0xbf]
+0x01,0xc1,0x10,0xbf
+
+# CHECK: s_setvskip s1, 0.5    ; encoding: [0x01,0xf0,0x10,0xbf]
+0x01,0xf0,0x10,0xbf
+
+# CHECK: s_setvskip s1, -4.0    ; encoding: [0x01,0xf7,0x10,0xbf]
+0x01,0xf7,0x10,0xbf
+
+# CHECK: s_setvskip s1, 0xaf123456    ; encoding: [0x01,0xff,0x10,0xbf,0x56,0x34,0x12,0xaf]
+0x01,0xff,0x10,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_setvskip s1, 0x3f717273    ; encoding: [0x01,0xff,0x10,0xbf,0x73,0x72,0x71,0x3f]
+0x01,0xff,0x10,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_eq_u64 s[2:3], s[4:5]    ; encoding: [0x02,0x04,0x12,0xbf]
+0x02,0x04,0x12,0xbf
+
+# CHECK: s_cmp_eq_u64 s[4:5], s[4:5]    ; encoding: [0x04,0x04,0x12,0xbf]
+0x04,0x04,0x12,0xbf
+
+# CHECK: s_cmp_eq_u64 s[100:101], s[4:5]    ; encoding: [0x64,0x04,0x12,0xbf]
+0x64,0x04,0x12,0xbf
+
+# CHECK: s_cmp_eq_u64 flat_scratch, s[4:5]    ; encoding: [0x66,0x04,0x12,0xbf]
+0x66,0x04,0x12,0xbf
+
+# CHECK: s_cmp_eq_u64 vcc, s[4:5]    ; encoding: [0x6a,0x04,0x12,0xbf]
+0x6a,0x04,0x12,0xbf
+
+# CHECK: s_cmp_eq_u64 exec, s[4:5]    ; encoding: [0x7e,0x04,0x12,0xbf]
+0x7e,0x04,0x12,0xbf
+
+# CHECK: s_cmp_eq_u64 0, s[4:5]    ; encoding: [0x80,0x04,0x12,0xbf]
+0x80,0x04,0x12,0xbf
+
+# CHECK: s_cmp_eq_u64 -1, s[4:5]    ; encoding: [0xc1,0x04,0x12,0xbf]
+0xc1,0x04,0x12,0xbf
+
+# CHECK: s_cmp_eq_u64 0.5, s[4:5]    ; encoding: [0xf0,0x04,0x12,0xbf]
+0xf0,0x04,0x12,0xbf
+
+# CHECK: s_cmp_eq_u64 -4.0, s[4:5]    ; encoding: [0xf7,0x04,0x12,0xbf]
+0xf7,0x04,0x12,0xbf
+
+# CHECK: s_cmp_eq_u64 0xaf123456, s[4:5]    ; encoding: [0xff,0x04,0x12,0xbf,0x56,0x34,0x12,0xaf]
+0xff,0x04,0x12,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_eq_u64 0x3f717273, s[4:5]    ; encoding: [0xff,0x04,0x12,0xbf,0x73,0x72,0x71,0x3f]
+0xff,0x04,0x12,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_eq_u64 s[2:3], s[6:7]    ; encoding: [0x02,0x06,0x12,0xbf]
+0x02,0x06,0x12,0xbf
+
+# CHECK: s_cmp_eq_u64 s[2:3], s[100:101]    ; encoding: [0x02,0x64,0x12,0xbf]
+0x02,0x64,0x12,0xbf
+
+# CHECK: s_cmp_eq_u64 s[2:3], flat_scratch    ; encoding: [0x02,0x66,0x12,0xbf]
+0x02,0x66,0x12,0xbf
+
+# CHECK: s_cmp_eq_u64 s[2:3], vcc    ; encoding: [0x02,0x6a,0x12,0xbf]
+0x02,0x6a,0x12,0xbf
+
+# CHECK: s_cmp_eq_u64 s[2:3], exec    ; encoding: [0x02,0x7e,0x12,0xbf]
+0x02,0x7e,0x12,0xbf
+
+# CHECK: s_cmp_eq_u64 s[2:3], 0    ; encoding: [0x02,0x80,0x12,0xbf]
+0x02,0x80,0x12,0xbf
+
+# CHECK: s_cmp_eq_u64 s[2:3], -1    ; encoding: [0x02,0xc1,0x12,0xbf]
+0x02,0xc1,0x12,0xbf
+
+# CHECK: s_cmp_eq_u64 s[2:3], 0.5    ; encoding: [0x02,0xf0,0x12,0xbf]
+0x02,0xf0,0x12,0xbf
+
+# CHECK: s_cmp_eq_u64 s[2:3], -4.0    ; encoding: [0x02,0xf7,0x12,0xbf]
+0x02,0xf7,0x12,0xbf
+
+# CHECK: s_cmp_eq_u64 s[2:3], 0xaf123456    ; encoding: [0x02,0xff,0x12,0xbf,0x56,0x34,0x12,0xaf]
+0x02,0xff,0x12,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_eq_u64 s[2:3], 0x3f717273    ; encoding: [0x02,0xff,0x12,0xbf,0x73,0x72,0x71,0x3f]
+0x02,0xff,0x12,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_lg_u64 s[2:3], s[4:5]    ; encoding: [0x02,0x04,0x13,0xbf]
+0x02,0x04,0x13,0xbf
+
+# CHECK: s_cmp_lg_u64 s[4:5], s[4:5]    ; encoding: [0x04,0x04,0x13,0xbf]
+0x04,0x04,0x13,0xbf
+
+# CHECK: s_cmp_lg_u64 s[100:101], s[4:5]    ; encoding: [0x64,0x04,0x13,0xbf]
+0x64,0x04,0x13,0xbf
+
+# CHECK: s_cmp_lg_u64 flat_scratch, s[4:5]    ; encoding: [0x66,0x04,0x13,0xbf]
+0x66,0x04,0x13,0xbf
+
+# CHECK: s_cmp_lg_u64 vcc, s[4:5]    ; encoding: [0x6a,0x04,0x13,0xbf]
+0x6a,0x04,0x13,0xbf
+
+# CHECK: s_cmp_lg_u64 exec, s[4:5]    ; encoding: [0x7e,0x04,0x13,0xbf]
+0x7e,0x04,0x13,0xbf
+
+# CHECK: s_cmp_lg_u64 0, s[4:5]    ; encoding: [0x80,0x04,0x13,0xbf]
+0x80,0x04,0x13,0xbf
+
+# CHECK: s_cmp_lg_u64 -1, s[4:5]    ; encoding: [0xc1,0x04,0x13,0xbf]
+0xc1,0x04,0x13,0xbf
+
+# CHECK: s_cmp_lg_u64 0.5, s[4:5]    ; encoding: [0xf0,0x04,0x13,0xbf]
+0xf0,0x04,0x13,0xbf
+
+# CHECK: s_cmp_lg_u64 -4.0, s[4:5]    ; encoding: [0xf7,0x04,0x13,0xbf]
+0xf7,0x04,0x13,0xbf
+
+# CHECK: s_cmp_lg_u64 0xaf123456, s[4:5]    ; encoding: [0xff,0x04,0x13,0xbf,0x56,0x34,0x12,0xaf]
+0xff,0x04,0x13,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_lg_u64 0x3f717273, s[4:5]    ; encoding: [0xff,0x04,0x13,0xbf,0x73,0x72,0x71,0x3f]
+0xff,0x04,0x13,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_cmp_lg_u64 s[2:3], s[6:7]    ; encoding: [0x02,0x06,0x13,0xbf]
+0x02,0x06,0x13,0xbf
+
+# CHECK: s_cmp_lg_u64 s[2:3], s[100:101]    ; encoding: [0x02,0x64,0x13,0xbf]
+0x02,0x64,0x13,0xbf
+
+# CHECK: s_cmp_lg_u64 s[2:3], flat_scratch    ; encoding: [0x02,0x66,0x13,0xbf]
+0x02,0x66,0x13,0xbf
+
+# CHECK: s_cmp_lg_u64 s[2:3], vcc    ; encoding: [0x02,0x6a,0x13,0xbf]
+0x02,0x6a,0x13,0xbf
+
+# CHECK: s_cmp_lg_u64 s[2:3], exec    ; encoding: [0x02,0x7e,0x13,0xbf]
+0x02,0x7e,0x13,0xbf
+
+# CHECK: s_cmp_lg_u64 s[2:3], 0    ; encoding: [0x02,0x80,0x13,0xbf]
+0x02,0x80,0x13,0xbf
+
+# CHECK: s_cmp_lg_u64 s[2:3], -1    ; encoding: [0x02,0xc1,0x13,0xbf]
+0x02,0xc1,0x13,0xbf
+
+# CHECK: s_cmp_lg_u64 s[2:3], 0.5    ; encoding: [0x02,0xf0,0x13,0xbf]
+0x02,0xf0,0x13,0xbf
+
+# CHECK: s_cmp_lg_u64 s[2:3], -4.0    ; encoding: [0x02,0xf7,0x13,0xbf]
+0x02,0xf7,0x13,0xbf
+
+# CHECK: s_cmp_lg_u64 s[2:3], 0xaf123456    ; encoding: [0x02,0xff,0x13,0xbf,0x56,0x34,0x12,0xaf]
+0x02,0xff,0x13,0xbf,0x56,0x34,0x12,0xaf
+
+# CHECK: s_cmp_lg_u64 s[2:3], 0x3f717273    ; encoding: [0x02,0xff,0x13,0xbf,0x73,0x72,0x71,0x3f]
+0x02,0xff,0x13,0xbf,0x73,0x72,0x71,0x3f
+
+# CHECK: s_movk_i32 s5, 0x3141    ; encoding: [0x41,0x31,0x05,0xb0]
+0x41,0x31,0x05,0xb0
+
+# CHECK: s_movk_i32 s101, 0x3141    ; encoding: [0x41,0x31,0x65,0xb0]
+0x41,0x31,0x65,0xb0
+
+# CHECK: s_movk_i32 flat_scratch_lo, 0x3141    ; encoding: [0x41,0x31,0x66,0xb0]
+0x41,0x31,0x66,0xb0
+
+# CHECK: s_movk_i32 flat_scratch_hi, 0x3141    ; encoding: [0x41,0x31,0x67,0xb0]
+0x41,0x31,0x67,0xb0
+
+# CHECK: s_movk_i32 vcc_lo, 0x3141    ; encoding: [0x41,0x31,0x6a,0xb0]
+0x41,0x31,0x6a,0xb0
+
+# CHECK: s_movk_i32 vcc_hi, 0x3141    ; encoding: [0x41,0x31,0x6b,0xb0]
+0x41,0x31,0x6b,0xb0
+
+# CHECK: s_movk_i32 m0, 0x3141    ; encoding: [0x41,0x31,0x7c,0xb0]
+0x41,0x31,0x7c,0xb0
+
+# CHECK: s_movk_i32 exec_lo, 0x3141    ; encoding: [0x41,0x31,0x7e,0xb0]
+0x41,0x31,0x7e,0xb0
+
+# CHECK: s_movk_i32 exec_hi, 0x3141    ; encoding: [0x41,0x31,0x7f,0xb0]
+0x41,0x31,0x7f,0xb0
+
+# CHECK: s_movk_i32 s5, 0xc1d1    ; encoding: [0xd1,0xc1,0x05,0xb0]
+0xd1,0xc1,0x05,0xb0
+
+# CHECK: s_cmovk_i32 s5, 0x3141    ; encoding: [0x41,0x31,0x85,0xb0]
+0x41,0x31,0x85,0xb0
+
+# CHECK: s_cmovk_i32 s101, 0x3141    ; encoding: [0x41,0x31,0xe5,0xb0]
+0x41,0x31,0xe5,0xb0
+
+# CHECK: s_cmovk_i32 flat_scratch_lo, 0x3141    ; encoding: [0x41,0x31,0xe6,0xb0]
+0x41,0x31,0xe6,0xb0
+
+# CHECK: s_cmovk_i32 flat_scratch_hi, 0x3141    ; encoding: [0x41,0x31,0xe7,0xb0]
+0x41,0x31,0xe7,0xb0
+
+# CHECK: s_cmovk_i32 vcc_lo, 0x3141    ; encoding: [0x41,0x31,0xea,0xb0]
+0x41,0x31,0xea,0xb0
+
+# CHECK: s_cmovk_i32 vcc_hi, 0x3141    ; encoding: [0x41,0x31,0xeb,0xb0]
+0x41,0x31,0xeb,0xb0
+
+# CHECK: s_cmovk_i32 m0, 0x3141    ; encoding: [0x41,0x31,0xfc,0xb0]
+0x41,0x31,0xfc,0xb0
+
+# CHECK: s_cmovk_i32 exec_lo, 0x3141    ; encoding: [0x41,0x31,0xfe,0xb0]
+0x41,0x31,0xfe,0xb0
+
+# CHECK: s_cmovk_i32 exec_hi, 0x3141    ; encoding: [0x41,0x31,0xff,0xb0]
+0x41,0x31,0xff,0xb0
+
+# CHECK: s_cmovk_i32 s5, 0xc1d1    ; encoding: [0xd1,0xc1,0x85,0xb0]
+0xd1,0xc1,0x85,0xb0
+
+# CHECK: s_cmpk_eq_i32 s1, 0x3141    ; encoding: [0x41,0x31,0x01,0xb1]
+0x41,0x31,0x01,0xb1
+
+# CHECK: s_cmpk_eq_i32 s101, 0x3141    ; encoding: [0x41,0x31,0x65,0xb1]
+0x41,0x31,0x65,0xb1
+
+# CHECK: s_cmpk_eq_i32 flat_scratch_lo, 0x3141    ; encoding: [0x41,0x31,0x66,0xb1]
+0x41,0x31,0x66,0xb1
+
+# CHECK: s_cmpk_eq_i32 flat_scratch_hi, 0x3141    ; encoding: [0x41,0x31,0x67,0xb1]
+0x41,0x31,0x67,0xb1
+
+# CHECK: s_cmpk_eq_i32 vcc_lo, 0x3141    ; encoding: [0x41,0x31,0x6a,0xb1]
+0x41,0x31,0x6a,0xb1
+
+# CHECK: s_cmpk_eq_i32 vcc_hi, 0x3141    ; encoding: [0x41,0x31,0x6b,0xb1]
+0x41,0x31,0x6b,0xb1
+
+# CHECK: s_cmpk_eq_i32 m0, 0x3141    ; encoding: [0x41,0x31,0x7c,0xb1]
+0x41,0x31,0x7c,0xb1
+
+# CHECK: s_cmpk_eq_i32 exec_lo, 0x3141    ; encoding: [0x41,0x31,0x7e,0xb1]
+0x41,0x31,0x7e,0xb1
+
+# CHECK: s_cmpk_eq_i32 exec_hi, 0x3141    ; encoding: [0x41,0x31,0x7f,0xb1]
+0x41,0x31,0x7f,0xb1
+
+# CHECK: s_cmpk_eq_i32 s1, 0xc1d1    ; encoding: [0xd1,0xc1,0x01,0xb1]
+0xd1,0xc1,0x01,0xb1
+
+# CHECK: s_cmpk_lg_i32 s1, 0x3141    ; encoding: [0x41,0x31,0x81,0xb1]
+0x41,0x31,0x81,0xb1
+
+# CHECK: s_cmpk_lg_i32 s101, 0x3141    ; encoding: [0x41,0x31,0xe5,0xb1]
+0x41,0x31,0xe5,0xb1
+
+# CHECK: s_cmpk_lg_i32 flat_scratch_lo, 0x3141    ; encoding: [0x41,0x31,0xe6,0xb1]
+0x41,0x31,0xe6,0xb1
+
+# CHECK: s_cmpk_lg_i32 flat_scratch_hi, 0x3141    ; encoding: [0x41,0x31,0xe7,0xb1]
+0x41,0x31,0xe7,0xb1
+
+# CHECK: s_cmpk_lg_i32 vcc_lo, 0x3141    ; encoding: [0x41,0x31,0xea,0xb1]
+0x41,0x31,0xea,0xb1
+
+# CHECK: s_cmpk_lg_i32 vcc_hi, 0x3141    ; encoding: [0x41,0x31,0xeb,0xb1]
+0x41,0x31,0xeb,0xb1
+
+# CHECK: s_cmpk_lg_i32 m0, 0x3141    ; encoding: [0x41,0x31,0xfc,0xb1]
+0x41,0x31,0xfc,0xb1
+
+# CHECK: s_cmpk_lg_i32 exec_lo, 0x3141    ; encoding: [0x41,0x31,0xfe,0xb1]
+0x41,0x31,0xfe,0xb1
+
+# CHECK: s_cmpk_lg_i32 exec_hi, 0x3141    ; encoding: [0x41,0x31,0xff,0xb1]
+0x41,0x31,0xff,0xb1
+
+# CHECK: s_cmpk_lg_i32 s1, 0xc1d1    ; encoding: [0xd1,0xc1,0x81,0xb1]
+0xd1,0xc1,0x81,0xb1
+
+# CHECK: s_cmpk_gt_i32 s1, 0x3141    ; encoding: [0x41,0x31,0x01,0xb2]
+0x41,0x31,0x01,0xb2
+
+# CHECK: s_cmpk_gt_i32 s101, 0x3141    ; encoding: [0x41,0x31,0x65,0xb2]
+0x41,0x31,0x65,0xb2
+
+# CHECK: s_cmpk_gt_i32 flat_scratch_lo, 0x3141    ; encoding: [0x41,0x31,0x66,0xb2]
+0x41,0x31,0x66,0xb2
+
+# CHECK: s_cmpk_gt_i32 flat_scratch_hi, 0x3141    ; encoding: [0x41,0x31,0x67,0xb2]
+0x41,0x31,0x67,0xb2
+
+# CHECK: s_cmpk_gt_i32 vcc_lo, 0x3141    ; encoding: [0x41,0x31,0x6a,0xb2]
+0x41,0x31,0x6a,0xb2
+
+# CHECK: s_cmpk_gt_i32 vcc_hi, 0x3141    ; encoding: [0x41,0x31,0x6b,0xb2]
+0x41,0x31,0x6b,0xb2
+
+# CHECK: s_cmpk_gt_i32 m0, 0x3141    ; encoding: [0x41,0x31,0x7c,0xb2]
+0x41,0x31,0x7c,0xb2
+
+# CHECK: s_cmpk_gt_i32 exec_lo, 0x3141    ; encoding: [0x41,0x31,0x7e,0xb2]
+0x41,0x31,0x7e,0xb2
+
+# CHECK: s_cmpk_gt_i32 exec_hi, 0x3141    ; encoding: [0x41,0x31,0x7f,0xb2]
+0x41,0x31,0x7f,0xb2
+
+# CHECK: s_cmpk_gt_i32 s1, 0xc1d1    ; encoding: [0xd1,0xc1,0x01,0xb2]
+0xd1,0xc1,0x01,0xb2
+
+# CHECK: s_cmpk_ge_i32 s1, 0x3141    ; encoding: [0x41,0x31,0x81,0xb2]
+0x41,0x31,0x81,0xb2
+
+# CHECK: s_cmpk_ge_i32 s101, 0x3141    ; encoding: [0x41,0x31,0xe5,0xb2]
+0x41,0x31,0xe5,0xb2
+
+# CHECK: s_cmpk_ge_i32 flat_scratch_lo, 0x3141    ; encoding: [0x41,0x31,0xe6,0xb2]
+0x41,0x31,0xe6,0xb2
+
+# CHECK: s_cmpk_ge_i32 flat_scratch_hi, 0x3141    ; encoding: [0x41,0x31,0xe7,0xb2]
+0x41,0x31,0xe7,0xb2
+
+# CHECK: s_cmpk_ge_i32 vcc_lo, 0x3141    ; encoding: [0x41,0x31,0xea,0xb2]
+0x41,0x31,0xea,0xb2
+
+# CHECK: s_cmpk_ge_i32 vcc_hi, 0x3141    ; encoding: [0x41,0x31,0xeb,0xb2]
+0x41,0x31,0xeb,0xb2
+
+# CHECK: s_cmpk_ge_i32 m0, 0x3141    ; encoding: [0x41,0x31,0xfc,0xb2]
+0x41,0x31,0xfc,0xb2
+
+# CHECK: s_cmpk_ge_i32 exec_lo, 0x3141    ; encoding: [0x41,0x31,0xfe,0xb2]
+0x41,0x31,0xfe,0xb2
+
+# CHECK: s_cmpk_ge_i32 exec_hi, 0x3141    ; encoding: [0x41,0x31,0xff,0xb2]
+0x41,0x31,0xff,0xb2
+
+# CHECK: s_cmpk_ge_i32 s1, 0xc1d1    ; encoding: [0xd1,0xc1,0x81,0xb2]
+0xd1,0xc1,0x81,0xb2
+
+# CHECK: s_cmpk_lt_i32 s1, 0x3141    ; encoding: [0x41,0x31,0x01,0xb3]
+0x41,0x31,0x01,0xb3
+
+# CHECK: s_cmpk_lt_i32 s101, 0x3141    ; encoding: [0x41,0x31,0x65,0xb3]
+0x41,0x31,0x65,0xb3
+
+# CHECK: s_cmpk_lt_i32 flat_scratch_lo, 0x3141    ; encoding: [0x41,0x31,0x66,0xb3]
+0x41,0x31,0x66,0xb3
+
+# CHECK: s_cmpk_lt_i32 flat_scratch_hi, 0x3141    ; encoding: [0x41,0x31,0x67,0xb3]
+0x41,0x31,0x67,0xb3
+
+# CHECK: s_cmpk_lt_i32 vcc_lo, 0x3141    ; encoding: [0x41,0x31,0x6a,0xb3]
+0x41,0x31,0x6a,0xb3
+
+# CHECK: s_cmpk_lt_i32 vcc_hi, 0x3141    ; encoding: [0x41,0x31,0x6b,0xb3]
+0x41,0x31,0x6b,0xb3
+
+# CHECK: s_cmpk_lt_i32 m0, 0x3141    ; encoding: [0x41,0x31,0x7c,0xb3]
+0x41,0x31,0x7c,0xb3
+
+# CHECK: s_cmpk_lt_i32 exec_lo, 0x3141    ; encoding: [0x41,0x31,0x7e,0xb3]
+0x41,0x31,0x7e,0xb3
+
+# CHECK: s_cmpk_lt_i32 exec_hi, 0x3141    ; encoding: [0x41,0x31,0x7f,0xb3]
+0x41,0x31,0x7f,0xb3
+
+# CHECK: s_cmpk_lt_i32 s1, 0xc1d1    ; encoding: [0xd1,0xc1,0x01,0xb3]
+0xd1,0xc1,0x01,0xb3
+
+# CHECK: s_cmpk_le_i32 s1, 0x3141    ; encoding: [0x41,0x31,0x81,0xb3]
+0x41,0x31,0x81,0xb3
+
+# CHECK: s_cmpk_le_i32 s101, 0x3141    ; encoding: [0x41,0x31,0xe5,0xb3]
+0x41,0x31,0xe5,0xb3
+
+# CHECK: s_cmpk_le_i32 flat_scratch_lo, 0x3141    ; encoding: [0x41,0x31,0xe6,0xb3]
+0x41,0x31,0xe6,0xb3
+
+# CHECK: s_cmpk_le_i32 flat_scratch_hi, 0x3141    ; encoding: [0x41,0x31,0xe7,0xb3]
+0x41,0x31,0xe7,0xb3
+
+# CHECK: s_cmpk_le_i32 vcc_lo, 0x3141    ; encoding: [0x41,0x31,0xea,0xb3]
+0x41,0x31,0xea,0xb3
+
+# CHECK: s_cmpk_le_i32 vcc_hi, 0x3141    ; encoding: [0x41,0x31,0xeb,0xb3]
+0x41,0x31,0xeb,0xb3
+
+# CHECK: s_cmpk_le_i32 m0, 0x3141    ; encoding: [0x41,0x31,0xfc,0xb3]
+0x41,0x31,0xfc,0xb3
+
+# CHECK: s_cmpk_le_i32 exec_lo, 0x3141    ; encoding: [0x41,0x31,0xfe,0xb3]
+0x41,0x31,0xfe,0xb3
+
+# CHECK: s_cmpk_le_i32 exec_hi, 0x3141    ; encoding: [0x41,0x31,0xff,0xb3]
+0x41,0x31,0xff,0xb3
+
+# CHECK: s_cmpk_le_i32 s1, 0xc1d1    ; encoding: [0xd1,0xc1,0x81,0xb3]
+0xd1,0xc1,0x81,0xb3
+
+# CHECK: s_cmpk_eq_u32 s1, 0x3141    ; encoding: [0x41,0x31,0x01,0xb4]
+0x41,0x31,0x01,0xb4
+
+# CHECK: s_cmpk_eq_u32 s101, 0x3141    ; encoding: [0x41,0x31,0x65,0xb4]
+0x41,0x31,0x65,0xb4
+
+# CHECK: s_cmpk_eq_u32 flat_scratch_lo, 0x3141    ; encoding: [0x41,0x31,0x66,0xb4]
+0x41,0x31,0x66,0xb4
+
+# CHECK: s_cmpk_eq_u32 flat_scratch_hi, 0x3141    ; encoding: [0x41,0x31,0x67,0xb4]
+0x41,0x31,0x67,0xb4
+
+# CHECK: s_cmpk_eq_u32 vcc_lo, 0x3141    ; encoding: [0x41,0x31,0x6a,0xb4]
+0x41,0x31,0x6a,0xb4
+
+# CHECK: s_cmpk_eq_u32 vcc_hi, 0x3141    ; encoding: [0x41,0x31,0x6b,0xb4]
+0x41,0x31,0x6b,0xb4
+
+# CHECK: s_cmpk_eq_u32 m0, 0x3141    ; encoding: [0x41,0x31,0x7c,0xb4]
+0x41,0x31,0x7c,0xb4
+
+# CHECK: s_cmpk_eq_u32 exec_lo, 0x3141    ; encoding: [0x41,0x31,0x7e,0xb4]
+0x41,0x31,0x7e,0xb4
+
+# CHECK: s_cmpk_eq_u32 exec_hi, 0x3141    ; encoding: [0x41,0x31,0x7f,0xb4]
+0x41,0x31,0x7f,0xb4
+
+# CHECK: s_cmpk_eq_u32 s1, 0xc1d1    ; encoding: [0xd1,0xc1,0x01,0xb4]
+0xd1,0xc1,0x01,0xb4
+
+# CHECK: s_cmpk_lg_u32 s1, 0x3141    ; encoding: [0x41,0x31,0x81,0xb4]
+0x41,0x31,0x81,0xb4
+
+# CHECK: s_cmpk_lg_u32 s101, 0x3141    ; encoding: [0x41,0x31,0xe5,0xb4]
+0x41,0x31,0xe5,0xb4
+
+# CHECK: s_cmpk_lg_u32 flat_scratch_lo, 0x3141    ; encoding: [0x41,0x31,0xe6,0xb4]
+0x41,0x31,0xe6,0xb4
+
+# CHECK: s_cmpk_lg_u32 flat_scratch_hi, 0x3141    ; encoding: [0x41,0x31,0xe7,0xb4]
+0x41,0x31,0xe7,0xb4
+
+# CHECK: s_cmpk_lg_u32 vcc_lo, 0x3141    ; encoding: [0x41,0x31,0xea,0xb4]
+0x41,0x31,0xea,0xb4
+
+# CHECK: s_cmpk_lg_u32 vcc_hi, 0x3141    ; encoding: [0x41,0x31,0xeb,0xb4]
+0x41,0x31,0xeb,0xb4
+
+# CHECK: s_cmpk_lg_u32 m0, 0x3141    ; encoding: [0x41,0x31,0xfc,0xb4]
+0x41,0x31,0xfc,0xb4
+
+# CHECK: s_cmpk_lg_u32 exec_lo, 0x3141    ; encoding: [0x41,0x31,0xfe,0xb4]
+0x41,0x31,0xfe,0xb4
+
+# CHECK: s_cmpk_lg_u32 exec_hi, 0x3141    ; encoding: [0x41,0x31,0xff,0xb4]
+0x41,0x31,0xff,0xb4
+
+# CHECK: s_cmpk_lg_u32 s1, 0xc1d1    ; encoding: [0xd1,0xc1,0x81,0xb4]
+0xd1,0xc1,0x81,0xb4
+
+# CHECK: s_cmpk_gt_u32 s1, 0x3141    ; encoding: [0x41,0x31,0x01,0xb5]
+0x41,0x31,0x01,0xb5
+
+# CHECK: s_cmpk_gt_u32 s101, 0x3141    ; encoding: [0x41,0x31,0x65,0xb5]
+0x41,0x31,0x65,0xb5
+
+# CHECK: s_cmpk_gt_u32 flat_scratch_lo, 0x3141    ; encoding: [0x41,0x31,0x66,0xb5]
+0x41,0x31,0x66,0xb5
+
+# CHECK: s_cmpk_gt_u32 flat_scratch_hi, 0x3141    ; encoding: [0x41,0x31,0x67,0xb5]
+0x41,0x31,0x67,0xb5
+
+# CHECK: s_cmpk_gt_u32 vcc_lo, 0x3141    ; encoding: [0x41,0x31,0x6a,0xb5]
+0x41,0x31,0x6a,0xb5
+
+# CHECK: s_cmpk_gt_u32 vcc_hi, 0x3141    ; encoding: [0x41,0x31,0x6b,0xb5]
+0x41,0x31,0x6b,0xb5
+
+# CHECK: s_cmpk_gt_u32 m0, 0x3141    ; encoding: [0x41,0x31,0x7c,0xb5]
+0x41,0x31,0x7c,0xb5
+
+# CHECK: s_cmpk_gt_u32 exec_lo, 0x3141    ; encoding: [0x41,0x31,0x7e,0xb5]
+0x41,0x31,0x7e,0xb5
+
+# CHECK: s_cmpk_gt_u32 exec_hi, 0x3141    ; encoding: [0x41,0x31,0x7f,0xb5]
+0x41,0x31,0x7f,0xb5
+
+# CHECK: s_cmpk_gt_u32 s1, 0xc1d1    ; encoding: [0xd1,0xc1,0x01,0xb5]
+0xd1,0xc1,0x01,0xb5
+
+# CHECK: s_cmpk_ge_u32 s1, 0x3141    ; encoding: [0x41,0x31,0x81,0xb5]
+0x41,0x31,0x81,0xb5
+
+# CHECK: s_cmpk_ge_u32 s101, 0x3141    ; encoding: [0x41,0x31,0xe5,0xb5]
+0x41,0x31,0xe5,0xb5
+
+# CHECK: s_cmpk_ge_u32 flat_scratch_lo, 0x3141    ; encoding: [0x41,0x31,0xe6,0xb5]
+0x41,0x31,0xe6,0xb5
+
+# CHECK: s_cmpk_ge_u32 flat_scratch_hi, 0x3141    ; encoding: [0x41,0x31,0xe7,0xb5]
+0x41,0x31,0xe7,0xb5
+
+# CHECK: s_cmpk_ge_u32 vcc_lo, 0x3141    ; encoding: [0x41,0x31,0xea,0xb5]
+0x41,0x31,0xea,0xb5
+
+# CHECK: s_cmpk_ge_u32 vcc_hi, 0x3141    ; encoding: [0x41,0x31,0xeb,0xb5]
+0x41,0x31,0xeb,0xb5
+
+# CHECK: s_cmpk_ge_u32 m0, 0x3141    ; encoding: [0x41,0x31,0xfc,0xb5]
+0x41,0x31,0xfc,0xb5
+
+# CHECK: s_cmpk_ge_u32 exec_lo, 0x3141    ; encoding: [0x41,0x31,0xfe,0xb5]
+0x41,0x31,0xfe,0xb5
+
+# CHECK: s_cmpk_ge_u32 exec_hi, 0x3141    ; encoding: [0x41,0x31,0xff,0xb5]
+0x41,0x31,0xff,0xb5
+
+# CHECK: s_cmpk_ge_u32 s1, 0xc1d1    ; encoding: [0xd1,0xc1,0x81,0xb5]
+0xd1,0xc1,0x81,0xb5
+
+# CHECK: s_cmpk_lt_u32 s1, 0x3141    ; encoding: [0x41,0x31,0x01,0xb6]
+0x41,0x31,0x01,0xb6
+
+# CHECK: s_cmpk_lt_u32 s101, 0x3141    ; encoding: [0x41,0x31,0x65,0xb6]
+0x41,0x31,0x65,0xb6
+
+# CHECK: s_cmpk_lt_u32 flat_scratch_lo, 0x3141    ; encoding: [0x41,0x31,0x66,0xb6]
+0x41,0x31,0x66,0xb6
+
+# CHECK: s_cmpk_lt_u32 flat_scratch_hi, 0x3141    ; encoding: [0x41,0x31,0x67,0xb6]
+0x41,0x31,0x67,0xb6
+
+# CHECK: s_cmpk_lt_u32 vcc_lo, 0x3141    ; encoding: [0x41,0x31,0x6a,0xb6]
+0x41,0x31,0x6a,0xb6
+
+# CHECK: s_cmpk_lt_u32 vcc_hi, 0x3141    ; encoding: [0x41,0x31,0x6b,0xb6]
+0x41,0x31,0x6b,0xb6
+
+# CHECK: s_cmpk_lt_u32 m0, 0x3141    ; encoding: [0x41,0x31,0x7c,0xb6]
+0x41,0x31,0x7c,0xb6
+
+# CHECK: s_cmpk_lt_u32 exec_lo, 0x3141    ; encoding: [0x41,0x31,0x7e,0xb6]
+0x41,0x31,0x7e,0xb6
+
+# CHECK: s_cmpk_lt_u32 exec_hi, 0x3141    ; encoding: [0x41,0x31,0x7f,0xb6]
+0x41,0x31,0x7f,0xb6
+
+# CHECK: s_cmpk_lt_u32 s1, 0xc1d1    ; encoding: [0xd1,0xc1,0x01,0xb6]
+0xd1,0xc1,0x01,0xb6
+
+# CHECK: s_cmpk_le_u32 s1, 0x3141    ; encoding: [0x41,0x31,0x81,0xb6]
+0x41,0x31,0x81,0xb6
+
+# CHECK: s_cmpk_le_u32 s101, 0x3141    ; encoding: [0x41,0x31,0xe5,0xb6]
+0x41,0x31,0xe5,0xb6
+
+# CHECK: s_cmpk_le_u32 flat_scratch_lo, 0x3141    ; encoding: [0x41,0x31,0xe6,0xb6]
+0x41,0x31,0xe6,0xb6
+
+# CHECK: s_cmpk_le_u32 flat_scratch_hi, 0x3141    ; encoding: [0x41,0x31,0xe7,0xb6]
+0x41,0x31,0xe7,0xb6
+
+# CHECK: s_cmpk_le_u32 vcc_lo, 0x3141    ; encoding: [0x41,0x31,0xea,0xb6]
+0x41,0x31,0xea,0xb6
+
+# CHECK: s_cmpk_le_u32 vcc_hi, 0x3141    ; encoding: [0x41,0x31,0xeb,0xb6]
+0x41,0x31,0xeb,0xb6
+
+# CHECK: s_cmpk_le_u32 m0, 0x3141    ; encoding: [0x41,0x31,0xfc,0xb6]
+0x41,0x31,0xfc,0xb6
+
+# CHECK: s_cmpk_le_u32 exec_lo, 0x3141    ; encoding: [0x41,0x31,0xfe,0xb6]
+0x41,0x31,0xfe,0xb6
+
+# CHECK: s_cmpk_le_u32 exec_hi, 0x3141    ; encoding: [0x41,0x31,0xff,0xb6]
+0x41,0x31,0xff,0xb6
+
+# CHECK: s_cmpk_le_u32 s1, 0xc1d1    ; encoding: [0xd1,0xc1,0x81,0xb6]
+0xd1,0xc1,0x81,0xb6
+
+# CHECK: s_addk_i32 s5, 0x3141    ; encoding: [0x41,0x31,0x05,0xb7]
+0x41,0x31,0x05,0xb7
+
+# CHECK: s_addk_i32 s101, 0x3141    ; encoding: [0x41,0x31,0x65,0xb7]
+0x41,0x31,0x65,0xb7
+
+# CHECK: s_addk_i32 flat_scratch_lo, 0x3141    ; encoding: [0x41,0x31,0x66,0xb7]
+0x41,0x31,0x66,0xb7
+
+# CHECK: s_addk_i32 flat_scratch_hi, 0x3141    ; encoding: [0x41,0x31,0x67,0xb7]
+0x41,0x31,0x67,0xb7
+
+# CHECK: s_addk_i32 vcc_lo, 0x3141    ; encoding: [0x41,0x31,0x6a,0xb7]
+0x41,0x31,0x6a,0xb7
+
+# CHECK: s_addk_i32 vcc_hi, 0x3141    ; encoding: [0x41,0x31,0x6b,0xb7]
+0x41,0x31,0x6b,0xb7
+
+# CHECK: s_addk_i32 m0, 0x3141    ; encoding: [0x41,0x31,0x7c,0xb7]
+0x41,0x31,0x7c,0xb7
+
+# CHECK: s_addk_i32 exec_lo, 0x3141    ; encoding: [0x41,0x31,0x7e,0xb7]
+0x41,0x31,0x7e,0xb7
+
+# CHECK: s_addk_i32 exec_hi, 0x3141    ; encoding: [0x41,0x31,0x7f,0xb7]
+0x41,0x31,0x7f,0xb7
+
+# CHECK: s_addk_i32 s5, 0xc1d1    ; encoding: [0xd1,0xc1,0x05,0xb7]
+0xd1,0xc1,0x05,0xb7
+
+# CHECK: s_mulk_i32 s5, 0x3141    ; encoding: [0x41,0x31,0x85,0xb7]
+0x41,0x31,0x85,0xb7
+
+# CHECK: s_mulk_i32 s101, 0x3141    ; encoding: [0x41,0x31,0xe5,0xb7]
+0x41,0x31,0xe5,0xb7
+
+# CHECK: s_mulk_i32 flat_scratch_lo, 0x3141    ; encoding: [0x41,0x31,0xe6,0xb7]
+0x41,0x31,0xe6,0xb7
+
+# CHECK: s_mulk_i32 flat_scratch_hi, 0x3141    ; encoding: [0x41,0x31,0xe7,0xb7]
+0x41,0x31,0xe7,0xb7
+
+# CHECK: s_mulk_i32 vcc_lo, 0x3141    ; encoding: [0x41,0x31,0xea,0xb7]
+0x41,0x31,0xea,0xb7
+
+# CHECK: s_mulk_i32 vcc_hi, 0x3141    ; encoding: [0x41,0x31,0xeb,0xb7]
+0x41,0x31,0xeb,0xb7
+
+# CHECK: s_mulk_i32 m0, 0x3141    ; encoding: [0x41,0x31,0xfc,0xb7]
+0x41,0x31,0xfc,0xb7
+
+# CHECK: s_mulk_i32 exec_lo, 0x3141    ; encoding: [0x41,0x31,0xfe,0xb7]
+0x41,0x31,0xfe,0xb7
+
+# CHECK: s_mulk_i32 exec_hi, 0x3141    ; encoding: [0x41,0x31,0xff,0xb7]
+0x41,0x31,0xff,0xb7
+
+# CHECK: s_mulk_i32 s5, 0xc1d1    ; encoding: [0xd1,0xc1,0x85,0xb7]
+0xd1,0xc1,0x85,0xb7
+
+# CHECK: s_nop 0x3141    ; encoding: [0x41,0x31,0x80,0xbf]
+0x41,0x31,0x80,0xbf
+
+# CHECK: s_nop 0xc1d1    ; encoding: [0xd1,0xc1,0x80,0xbf]
+0xd1,0xc1,0x80,0xbf
+
+# CHECK: s_endpgm    ; encoding: [0x00,0x00,0x81,0xbf]
+0x00,0x00,0x81,0xbf
+
+# CHECK: s_branch 12609    ; encoding: [0x41,0x31,0x82,0xbf]
+0x41,0x31,0x82,0xbf
+
+# CHECK: s_branch 49617    ; encoding: [0xd1,0xc1,0x82,0xbf]
+0xd1,0xc1,0x82,0xbf
+
+# CHECK: s_wakeup    ; encoding: [0x00,0x00,0x83,0xbf]
+0x00,0x00,0x83,0xbf
+
+# CHECK: s_cbranch_scc0 12609    ; encoding: [0x41,0x31,0x84,0xbf]
+0x41,0x31,0x84,0xbf
+
+# CHECK: s_cbranch_scc0 49617    ; encoding: [0xd1,0xc1,0x84,0xbf]
+0xd1,0xc1,0x84,0xbf
+
+# CHECK: s_cbranch_scc1 12609    ; encoding: [0x41,0x31,0x85,0xbf]
+0x41,0x31,0x85,0xbf
+
+# CHECK: s_cbranch_scc1 49617    ; encoding: [0xd1,0xc1,0x85,0xbf]
+0xd1,0xc1,0x85,0xbf
+
+# CHECK: s_cbranch_vccz 12609    ; encoding: [0x41,0x31,0x86,0xbf]
+0x41,0x31,0x86,0xbf
+
+# CHECK: s_cbranch_vccz 49617    ; encoding: [0xd1,0xc1,0x86,0xbf]
+0xd1,0xc1,0x86,0xbf
+
+# CHECK: s_cbranch_vccnz 12609    ; encoding: [0x41,0x31,0x87,0xbf]
+0x41,0x31,0x87,0xbf
+
+# CHECK: s_cbranch_vccnz 49617    ; encoding: [0xd1,0xc1,0x87,0xbf]
+0xd1,0xc1,0x87,0xbf
+
+# CHECK: s_cbranch_execz 12609    ; encoding: [0x41,0x31,0x88,0xbf]
+0x41,0x31,0x88,0xbf
+
+# CHECK: s_cbranch_execz 49617    ; encoding: [0xd1,0xc1,0x88,0xbf]
+0xd1,0xc1,0x88,0xbf
+
+# CHECK: s_cbranch_execnz 12609    ; encoding: [0x41,0x31,0x89,0xbf]
+0x41,0x31,0x89,0xbf
+
+# CHECK: s_cbranch_execnz 49617    ; encoding: [0xd1,0xc1,0x89,0xbf]
+0xd1,0xc1,0x89,0xbf
+
+# CHECK: s_barrier    ; encoding: [0x00,0x00,0x8a,0xbf]
+0x00,0x00,0x8a,0xbf
+
+# CHECK: s_setkill 0x3141    ; encoding: [0x41,0x31,0x8b,0xbf]
+0x41,0x31,0x8b,0xbf
+
+# CHECK: s_setkill 0xc1d1    ; encoding: [0xd1,0xc1,0x8b,0xbf]
+0xd1,0xc1,0x8b,0xbf
+
+# CHECK: s_sethalt 0x3141    ; encoding: [0x41,0x31,0x8d,0xbf]
+0x41,0x31,0x8d,0xbf
+
+# CHECK: s_sethalt 0xc1d1    ; encoding: [0xd1,0xc1,0x8d,0xbf]
+0xd1,0xc1,0x8d,0xbf
+
+# CHECK: s_sleep 0x3141    ; encoding: [0x41,0x31,0x8e,0xbf]
+0x41,0x31,0x8e,0xbf
+
+# CHECK: s_sleep 0xc1d1    ; encoding: [0xd1,0xc1,0x8e,0xbf]
+0xd1,0xc1,0x8e,0xbf
+
+# CHECK: s_setprio 0x3141    ; encoding: [0x41,0x31,0x8f,0xbf]
+0x41,0x31,0x8f,0xbf
+
+# CHECK: s_setprio 0xc1d1    ; encoding: [0xd1,0xc1,0x8f,0xbf]
+0xd1,0xc1,0x8f,0xbf
+
+# CHECK: s_trap 0x3141    ; encoding: [0x41,0x31,0x92,0xbf]
+0x41,0x31,0x92,0xbf
+
+# CHECK: s_trap 0xc1d1    ; encoding: [0xd1,0xc1,0x92,0xbf]
+0xd1,0xc1,0x92,0xbf
+
+# CHECK: s_icache_inv    ; encoding: [0x00,0x00,0x93,0xbf]
+0x00,0x00,0x93,0xbf
+
+# CHECK: s_incperflevel 0x3141    ; encoding: [0x41,0x31,0x94,0xbf]
+0x41,0x31,0x94,0xbf
+
+# CHECK: s_incperflevel 0xc1d1    ; encoding: [0xd1,0xc1,0x94,0xbf]
+0xd1,0xc1,0x94,0xbf
+
+# CHECK: s_decperflevel 0x3141    ; encoding: [0x41,0x31,0x95,0xbf]
+0x41,0x31,0x95,0xbf
+
+# CHECK: s_decperflevel 0xc1d1    ; encoding: [0xd1,0xc1,0x95,0xbf]
+0xd1,0xc1,0x95,0xbf
+
+# CHECK: s_ttracedata    ; encoding: [0x00,0x00,0x96,0xbf]
+0x00,0x00,0x96,0xbf
+
+# CHECK: s_cbranch_cdbgsys 12609    ; encoding: [0x41,0x31,0x97,0xbf]
+0x41,0x31,0x97,0xbf
+
+# CHECK: s_cbranch_cdbgsys 49617    ; encoding: [0xd1,0xc1,0x97,0xbf]
+0xd1,0xc1,0x97,0xbf
+
+# CHECK: s_cbranch_cdbguser 12609    ; encoding: [0x41,0x31,0x98,0xbf]
+0x41,0x31,0x98,0xbf
+
+# CHECK: s_cbranch_cdbguser 49617    ; encoding: [0xd1,0xc1,0x98,0xbf]
+0xd1,0xc1,0x98,0xbf
+
+# CHECK: s_cbranch_cdbgsys_or_user 12609    ; encoding: [0x41,0x31,0x99,0xbf]
+0x41,0x31,0x99,0xbf
+
+# CHECK: s_cbranch_cdbgsys_or_user 49617    ; encoding: [0xd1,0xc1,0x99,0xbf]
+0xd1,0xc1,0x99,0xbf
+
+# CHECK: s_cbranch_cdbgsys_and_user 12609    ; encoding: [0x41,0x31,0x9a,0xbf]
+0x41,0x31,0x9a,0xbf
+
+# CHECK: s_cbranch_cdbgsys_and_user 49617    ; encoding: [0xd1,0xc1,0x9a,0xbf]
+0xd1,0xc1,0x9a,0xbf
+
+# CHECK: s_endpgm_saved    ; encoding: [0x00,0x00,0x9b,0xbf]
+0x00,0x00,0x9b,0xbf
+
+# CHECK: s_set_gpr_idx_off    ; encoding: [0x00,0x00,0x9c,0xbf]
+0x00,0x00,0x9c,0xbf
+
+# CHECK: v_interp_p1_f32_e64 v5, v2, attr0.x    ; encoding: [0x05,0x00,0x70,0xd2,0x00,0x04,0x02,0x00]
+0x05,0x00,0x70,0xd2,0x00,0x04,0x02,0x00
+
+# CHECK: v_interp_p1_f32_e64 v255, v2, attr0.x    ; encoding: [0xff,0x00,0x70,0xd2,0x00,0x04,0x02,0x00]
+0xff,0x00,0x70,0xd2,0x00,0x04,0x02,0x00
+
+# CHECK: v_interp_p1_f32_e64 v5, v2, attr1.x    ; encoding: [0x05,0x00,0x70,0xd2,0x01,0x04,0x02,0x00]
+0x05,0x00,0x70,0xd2,0x01,0x04,0x02,0x00
+
+# CHECK: v_interp_p1_f32_e64 v5, v2, attr31.x    ; encoding: [0x05,0x00,0x70,0xd2,0x1f,0x04,0x02,0x00]
+0x05,0x00,0x70,0xd2,0x1f,0x04,0x02,0x00
+
+# CHECK: v_interp_p1_f32_e64 v5, v2, attr32.x    ; encoding: [0x05,0x00,0x70,0xd2,0x20,0x04,0x02,0x00]
+0x05,0x00,0x70,0xd2,0x20,0x04,0x02,0x00
+
+# CHECK: v_interp_p1_f32_e64 v5, v255, attr0.x    ; encoding: [0x05,0x00,0x70,0xd2,0x00,0xfe,0x03,0x00]
+0x05,0x00,0x70,0xd2,0x00,0xfe,0x03,0x00
+
+# CHECK: v_interp_p1_f32_e64 v5, -v2, attr0.x    ; encoding: [0x05,0x00,0x70,0xd2,0x00,0x04,0x02,0x40]
+0x05,0x00,0x70,0xd2,0x00,0x04,0x02,0x40
+
+# CHECK: v_interp_p1_f32_e64 v5, |v2|, attr0.x    ; encoding: [0x05,0x02,0x70,0xd2,0x00,0x04,0x02,0x00]
+0x05,0x02,0x70,0xd2,0x00,0x04,0x02,0x00
+
+# CHECK: v_interp_p1_f32_e64 v5, v2, attr0.y    ; encoding: [0x05,0x00,0x70,0xd2,0x40,0x04,0x02,0x00]
+0x05,0x00,0x70,0xd2,0x40,0x04,0x02,0x00
+
+# CHECK: v_interp_p1_f32_e64 v5, v2, attr0.z    ; encoding: [0x05,0x00,0x70,0xd2,0x80,0x04,0x02,0x00]
+0x05,0x00,0x70,0xd2,0x80,0x04,0x02,0x00
+
+# CHECK: v_interp_p1_f32_e64 v5, v2, attr0.w    ; encoding: [0x05,0x00,0x70,0xd2,0xc0,0x04,0x02,0x00]
+0x05,0x00,0x70,0xd2,0xc0,0x04,0x02,0x00
+
+# CHECK: v_interp_p1_f32_e64 v5, v2, attr0.x clamp    ; encoding: [0x05,0x80,0x70,0xd2,0x00,0x04,0x02,0x00]
+0x05,0x80,0x70,0xd2,0x00,0x04,0x02,0x00
+
+# CHECK: v_interp_p1_f32_e64 v5, v2, attr0.x mul:2    ; encoding: [0x05,0x00,0x70,0xd2,0x00,0x04,0x02,0x08]
+0x05,0x00,0x70,0xd2,0x00,0x04,0x02,0x08
+
+# CHECK: v_interp_p1_f32_e64 v5, v2, attr0.x mul:4    ; encoding: [0x05,0x00,0x70,0xd2,0x00,0x04,0x02,0x10]
+0x05,0x00,0x70,0xd2,0x00,0x04,0x02,0x10
+
+# CHECK: v_interp_p1_f32_e64 v5, v2, attr0.x div:2    ; encoding: [0x05,0x00,0x70,0xd2,0x00,0x04,0x02,0x18]
+0x05,0x00,0x70,0xd2,0x00,0x04,0x02,0x18
+
+# CHECK: v_interp_p2_f32_e64 v5, v2, attr0.x    ; encoding: [0x05,0x00,0x71,0xd2,0x00,0x04,0x02,0x00]
+0x05,0x00,0x71,0xd2,0x00,0x04,0x02,0x00
+
+# CHECK: v_interp_p2_f32_e64 v255, v2, attr0.x    ; encoding: [0xff,0x00,0x71,0xd2,0x00,0x04,0x02,0x00]
+0xff,0x00,0x71,0xd2,0x00,0x04,0x02,0x00
+
+# CHECK: v_interp_p2_f32_e64 v5, v2, attr1.x    ; encoding: [0x05,0x00,0x71,0xd2,0x01,0x04,0x02,0x00]
+0x05,0x00,0x71,0xd2,0x01,0x04,0x02,0x00
+
+# CHECK: v_interp_p2_f32_e64 v5, v2, attr31.x    ; encoding: [0x05,0x00,0x71,0xd2,0x1f,0x04,0x02,0x00]
+0x05,0x00,0x71,0xd2,0x1f,0x04,0x02,0x00
+
+# CHECK: v_interp_p2_f32_e64 v5, v2, attr32.x    ; encoding: [0x05,0x00,0x71,0xd2,0x20,0x04,0x02,0x00]
+0x05,0x00,0x71,0xd2,0x20,0x04,0x02,0x00
+
+# CHECK: v_interp_p2_f32_e64 v5, v255, attr0.x    ; encoding: [0x05,0x00,0x71,0xd2,0x00,0xfe,0x03,0x00]
+0x05,0x00,0x71,0xd2,0x00,0xfe,0x03,0x00
+
+# CHECK: v_interp_p2_f32_e64 v5, -v2, attr0.x    ; encoding: [0x05,0x00,0x71,0xd2,0x00,0x04,0x02,0x40]
+0x05,0x00,0x71,0xd2,0x00,0x04,0x02,0x40
+
+# CHECK: v_interp_p2_f32_e64 v5, |v2|, attr0.x    ; encoding: [0x05,0x02,0x71,0xd2,0x00,0x04,0x02,0x00]
+0x05,0x02,0x71,0xd2,0x00,0x04,0x02,0x00
+
+# CHECK: v_interp_p2_f32_e64 v5, v2, attr0.y    ; encoding: [0x05,0x00,0x71,0xd2,0x40,0x04,0x02,0x00]
+0x05,0x00,0x71,0xd2,0x40,0x04,0x02,0x00
+
+# CHECK: v_interp_p2_f32_e64 v5, v2, attr0.z    ; encoding: [0x05,0x00,0x71,0xd2,0x80,0x04,0x02,0x00]
+0x05,0x00,0x71,0xd2,0x80,0x04,0x02,0x00
+
+# CHECK: v_interp_p2_f32_e64 v5, v2, attr0.w    ; encoding: [0x05,0x00,0x71,0xd2,0xc0,0x04,0x02,0x00]
+0x05,0x00,0x71,0xd2,0xc0,0x04,0x02,0x00
+
+# CHECK: v_interp_p2_f32_e64 v5, v2, attr0.x clamp    ; encoding: [0x05,0x80,0x71,0xd2,0x00,0x04,0x02,0x00]
+0x05,0x80,0x71,0xd2,0x00,0x04,0x02,0x00
+
+# CHECK: v_interp_p2_f32_e64 v5, v2, attr0.x mul:2    ; encoding: [0x05,0x00,0x71,0xd2,0x00,0x04,0x02,0x08]
+0x05,0x00,0x71,0xd2,0x00,0x04,0x02,0x08
+
+# CHECK: v_interp_p2_f32_e64 v5, v2, attr0.x mul:4    ; encoding: [0x05,0x00,0x71,0xd2,0x00,0x04,0x02,0x10]
+0x05,0x00,0x71,0xd2,0x00,0x04,0x02,0x10
+
+# CHECK: v_interp_p2_f32_e64 v5, v2, attr0.x div:2    ; encoding: [0x05,0x00,0x71,0xd2,0x00,0x04,0x02,0x18]
+0x05,0x00,0x71,0xd2,0x00,0x04,0x02,0x18
+
+# CHECK: v_interp_mov_f32_e64 v5, p10, attr0.x    ; encoding: [0x05,0x00,0x72,0xd2,0x00,0x00,0x00,0x00]
+0x05,0x00,0x72,0xd2,0x00,0x00,0x00,0x00
+
+# CHECK: v_interp_mov_f32_e64 v255, p10, attr0.x    ; encoding: [0xff,0x00,0x72,0xd2,0x00,0x00,0x00,0x00]
+0xff,0x00,0x72,0xd2,0x00,0x00,0x00,0x00
+
+# CHECK: v_interp_mov_f32_e64 v5, p10, attr1.x    ; encoding: [0x05,0x00,0x72,0xd2,0x01,0x00,0x00,0x00]
+0x05,0x00,0x72,0xd2,0x01,0x00,0x00,0x00
+
+# CHECK: v_interp_mov_f32_e64 v5, p10, attr31.x    ; encoding: [0x05,0x00,0x72,0xd2,0x1f,0x00,0x00,0x00]
+0x05,0x00,0x72,0xd2,0x1f,0x00,0x00,0x00
+
+# CHECK: v_interp_mov_f32_e64 v5, p10, attr32.x    ; encoding: [0x05,0x00,0x72,0xd2,0x20,0x00,0x00,0x00]
+0x05,0x00,0x72,0xd2,0x20,0x00,0x00,0x00
+
+# CHECK: v_interp_mov_f32_e64 v5, p20, attr0.x    ; encoding: [0x05,0x00,0x72,0xd2,0x00,0x02,0x00,0x00]
+0x05,0x00,0x72,0xd2,0x00,0x02,0x00,0x00
+
+# CHECK: v_interp_mov_f32_e64 v5, p0, attr0.x    ; encoding: [0x05,0x00,0x72,0xd2,0x00,0x04,0x00,0x00]
+0x05,0x00,0x72,0xd2,0x00,0x04,0x00,0x00
+
+# CHECK: v_interp_mov_f32_e64 v5, p10, attr0.y    ; encoding: [0x05,0x00,0x72,0xd2,0x40,0x00,0x00,0x00]
+0x05,0x00,0x72,0xd2,0x40,0x00,0x00,0x00
+
+# CHECK: v_interp_mov_f32_e64 v5, p10, attr0.z    ; encoding: [0x05,0x00,0x72,0xd2,0x80,0x00,0x00,0x00]
+0x05,0x00,0x72,0xd2,0x80,0x00,0x00,0x00
+
+# CHECK: v_interp_mov_f32_e64 v5, p10, attr0.w    ; encoding: [0x05,0x00,0x72,0xd2,0xc0,0x00,0x00,0x00]
+0x05,0x00,0x72,0xd2,0xc0,0x00,0x00,0x00
+
+# CHECK: v_interp_mov_f32_e64 v5, p10, attr0.x clamp    ; encoding: [0x05,0x80,0x72,0xd2,0x00,0x00,0x00,0x00]
+0x05,0x80,0x72,0xd2,0x00,0x00,0x00,0x00
+
+# CHECK: v_interp_mov_f32_e64 v5, p10, attr0.x mul:2    ; encoding: [0x05,0x00,0x72,0xd2,0x00,0x00,0x00,0x08]
+0x05,0x00,0x72,0xd2,0x00,0x00,0x00,0x08
+
+# CHECK: v_interp_mov_f32_e64 v5, p10, attr0.x mul:4    ; encoding: [0x05,0x00,0x72,0xd2,0x00,0x00,0x00,0x10]
+0x05,0x00,0x72,0xd2,0x00,0x00,0x00,0x10
+
+# CHECK: v_interp_mov_f32_e64 v5, p10, attr0.x div:2    ; encoding: [0x05,0x00,0x72,0xd2,0x00,0x00,0x00,0x18]
+0x05,0x00,0x72,0xd2,0x00,0x00,0x00,0x18
+
+# CHECK: v_mov_b32_e32 v5, v1    ; encoding: [0x01,0x03,0x0a,0x7e]
+0x01,0x03,0x0a,0x7e
+
+# CHECK: v_mov_b32_e32 v255, v1    ; encoding: [0x01,0x03,0xfe,0x7f]
+0x01,0x03,0xfe,0x7f
+
+# CHECK: v_mov_b32_e32 v5, v255    ; encoding: [0xff,0x03,0x0a,0x7e]
+0xff,0x03,0x0a,0x7e
+
+# CHECK: v_mov_b32_e32 v5, s1    ; encoding: [0x01,0x02,0x0a,0x7e]
+0x01,0x02,0x0a,0x7e
+
+# CHECK: v_mov_b32_e32 v5, s101    ; encoding: [0x65,0x02,0x0a,0x7e]
+0x65,0x02,0x0a,0x7e
+
+# CHECK: v_mov_b32_e32 v5, flat_scratch_lo    ; encoding: [0x66,0x02,0x0a,0x7e]
+0x66,0x02,0x0a,0x7e
+
+# CHECK: v_mov_b32_e32 v5, flat_scratch_hi    ; encoding: [0x67,0x02,0x0a,0x7e]
+0x67,0x02,0x0a,0x7e
+
+# CHECK: v_mov_b32_e32 v5, vcc_lo    ; encoding: [0x6a,0x02,0x0a,0x7e]
+0x6a,0x02,0x0a,0x7e
+
+# CHECK: v_mov_b32_e32 v5, vcc_hi    ; encoding: [0x6b,0x02,0x0a,0x7e]
+0x6b,0x02,0x0a,0x7e
+
+# CHECK: v_mov_b32_e32 v5, m0    ; encoding: [0x7c,0x02,0x0a,0x7e]
+0x7c,0x02,0x0a,0x7e
+
+# CHECK: v_mov_b32_e32 v5, exec_lo    ; encoding: [0x7e,0x02,0x0a,0x7e]
+0x7e,0x02,0x0a,0x7e
+
+# CHECK: v_mov_b32_e32 v5, exec_hi    ; encoding: [0x7f,0x02,0x0a,0x7e]
+0x7f,0x02,0x0a,0x7e
+
+# CHECK: v_mov_b32_e32 v5, 0    ; encoding: [0x80,0x02,0x0a,0x7e]
+0x80,0x02,0x0a,0x7e
+
+# CHECK: v_mov_b32_e32 v5, -1    ; encoding: [0xc1,0x02,0x0a,0x7e]
+0xc1,0x02,0x0a,0x7e
+
+# CHECK: v_mov_b32_e32 v5, 0.5    ; encoding: [0xf0,0x02,0x0a,0x7e]
+0xf0,0x02,0x0a,0x7e
+
+# CHECK: v_mov_b32_e32 v5, -4.0    ; encoding: [0xf7,0x02,0x0a,0x7e]
+0xf7,0x02,0x0a,0x7e
+
+# CHECK: v_mov_b32_e32 v5, 0xaf123456    ; encoding: [0xff,0x02,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x02,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_mov_b32_e32 v5, 0x3f717273    ; encoding: [0xff,0x02,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x02,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_mov_b32_e64 v5, v1    ; encoding: [0x05,0x00,0x41,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x41,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_mov_b32_e64 v255, v1    ; encoding: [0xff,0x00,0x41,0xd1,0x01,0x01,0x00,0x00]
+0xff,0x00,0x41,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_mov_b32_e64 v5, v255    ; encoding: [0x05,0x00,0x41,0xd1,0xff,0x01,0x00,0x00]
+0x05,0x00,0x41,0xd1,0xff,0x01,0x00,0x00
+
+# CHECK: v_mov_b32_e64 v5, s1    ; encoding: [0x05,0x00,0x41,0xd1,0x01,0x00,0x00,0x00]
+0x05,0x00,0x41,0xd1,0x01,0x00,0x00,0x00
+
+# CHECK: v_mov_b32_e64 v5, s101    ; encoding: [0x05,0x00,0x41,0xd1,0x65,0x00,0x00,0x00]
+0x05,0x00,0x41,0xd1,0x65,0x00,0x00,0x00
+
+# CHECK: v_mov_b32_e64 v5, flat_scratch_lo    ; encoding: [0x05,0x00,0x41,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x41,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_mov_b32_e64 v5, flat_scratch_hi    ; encoding: [0x05,0x00,0x41,0xd1,0x67,0x00,0x00,0x00]
+0x05,0x00,0x41,0xd1,0x67,0x00,0x00,0x00
+
+# CHECK: v_mov_b32_e64 v5, vcc_lo    ; encoding: [0x05,0x00,0x41,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x41,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_mov_b32_e64 v5, vcc_hi    ; encoding: [0x05,0x00,0x41,0xd1,0x6b,0x00,0x00,0x00]
+0x05,0x00,0x41,0xd1,0x6b,0x00,0x00,0x00
+
+# CHECK: v_mov_b32_e64 v5, m0    ; encoding: [0x05,0x00,0x41,0xd1,0x7c,0x00,0x00,0x00]
+0x05,0x00,0x41,0xd1,0x7c,0x00,0x00,0x00
+
+# CHECK: v_mov_b32_e64 v5, exec_lo    ; encoding: [0x05,0x00,0x41,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x41,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_mov_b32_e64 v5, exec_hi    ; encoding: [0x05,0x00,0x41,0xd1,0x7f,0x00,0x00,0x00]
+0x05,0x00,0x41,0xd1,0x7f,0x00,0x00,0x00
+
+# CHECK: v_mov_b32_e64 v5, 0    ; encoding: [0x05,0x00,0x41,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x41,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_mov_b32_e64 v5, -1    ; encoding: [0x05,0x00,0x41,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x41,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_mov_b32_e64 v5, 0.5    ; encoding: [0x05,0x00,0x41,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x41,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_mov_b32_e64 v5, -4.0    ; encoding: [0x05,0x00,0x41,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x41,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_readfirstlane_b32 s5, v1    ; encoding: [0x01,0x05,0x0a,0x7e]
+0x01,0x05,0x0a,0x7e
+
+# CHECK: v_readfirstlane_b32 s101, v1    ; encoding: [0x01,0x05,0xca,0x7e]
+0x01,0x05,0xca,0x7e
+
+# CHECK: v_readfirstlane_b32 flat_scratch_lo, v1    ; encoding: [0x01,0x05,0xcc,0x7e]
+0x01,0x05,0xcc,0x7e
+
+# CHECK: v_readfirstlane_b32 flat_scratch_hi, v1    ; encoding: [0x01,0x05,0xce,0x7e]
+0x01,0x05,0xce,0x7e
+
+# CHECK: v_readfirstlane_b32 s5, v255    ; encoding: [0xff,0x05,0x0a,0x7e]
+0xff,0x05,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f64_e32 v5, v[1:2]    ; encoding: [0x01,0x07,0x0a,0x7e]
+0x01,0x07,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f64_e32 v255, v[1:2]    ; encoding: [0x01,0x07,0xfe,0x7f]
+0x01,0x07,0xfe,0x7f
+
+# CHECK: v_cvt_i32_f64_e32 v5, v[254:255]    ; encoding: [0xfe,0x07,0x0a,0x7e]
+0xfe,0x07,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f64_e32 v5, s[2:3]    ; encoding: [0x02,0x06,0x0a,0x7e]
+0x02,0x06,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f64_e32 v5, s[4:5]    ; encoding: [0x04,0x06,0x0a,0x7e]
+0x04,0x06,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f64_e32 v5, s[100:101]    ; encoding: [0x64,0x06,0x0a,0x7e]
+0x64,0x06,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f64_e32 v5, flat_scratch    ; encoding: [0x66,0x06,0x0a,0x7e]
+0x66,0x06,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f64_e32 v5, vcc    ; encoding: [0x6a,0x06,0x0a,0x7e]
+0x6a,0x06,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f64_e32 v5, exec    ; encoding: [0x7e,0x06,0x0a,0x7e]
+0x7e,0x06,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f64_e32 v5, 0    ; encoding: [0x80,0x06,0x0a,0x7e]
+0x80,0x06,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f64_e32 v5, -1    ; encoding: [0xc1,0x06,0x0a,0x7e]
+0xc1,0x06,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f64_e32 v5, 0.5    ; encoding: [0xf0,0x06,0x0a,0x7e]
+0xf0,0x06,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f64_e32 v5, -4.0    ; encoding: [0xf7,0x06,0x0a,0x7e]
+0xf7,0x06,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f64_e32 v5, 0xaf123456    ; encoding: [0xff,0x06,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x06,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_cvt_i32_f64_e32 v5, 0x3f717273    ; encoding: [0xff,0x06,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x06,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_cvt_i32_f64_e64 v5, v[1:2]    ; encoding: [0x05,0x00,0x43,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x43,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_i32_f64_e64 v255, v[1:2]    ; encoding: [0xff,0x00,0x43,0xd1,0x01,0x01,0x00,0x00]
+0xff,0x00,0x43,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_i32_f64_e64 v5, v[254:255]    ; encoding: [0x05,0x00,0x43,0xd1,0xfe,0x01,0x00,0x00]
+0x05,0x00,0x43,0xd1,0xfe,0x01,0x00,0x00
+
+# CHECK: v_cvt_i32_f64_e64 v5, s[2:3]    ; encoding: [0x05,0x00,0x43,0xd1,0x02,0x00,0x00,0x00]
+0x05,0x00,0x43,0xd1,0x02,0x00,0x00,0x00
+
+# CHECK: v_cvt_i32_f64_e64 v5, s[4:5]    ; encoding: [0x05,0x00,0x43,0xd1,0x04,0x00,0x00,0x00]
+0x05,0x00,0x43,0xd1,0x04,0x00,0x00,0x00
+
+# CHECK: v_cvt_i32_f64_e64 v5, s[100:101]    ; encoding: [0x05,0x00,0x43,0xd1,0x64,0x00,0x00,0x00]
+0x05,0x00,0x43,0xd1,0x64,0x00,0x00,0x00
+
+# CHECK: v_cvt_i32_f64_e64 v5, flat_scratch    ; encoding: [0x05,0x00,0x43,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x43,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_cvt_i32_f64_e64 v5, vcc    ; encoding: [0x05,0x00,0x43,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x43,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_cvt_i32_f64_e64 v5, exec    ; encoding: [0x05,0x00,0x43,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x43,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_cvt_i32_f64_e64 v5, 0    ; encoding: [0x05,0x00,0x43,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x43,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_cvt_i32_f64_e64 v5, -1    ; encoding: [0x05,0x00,0x43,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x43,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_cvt_i32_f64_e64 v5, 0.5    ; encoding: [0x05,0x00,0x43,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x43,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_cvt_i32_f64_e64 v5, -4.0    ; encoding: [0x05,0x00,0x43,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x43,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_cvt_i32_f64_e64 v5, -v[1:2]    ; encoding: [0x05,0x00,0x43,0xd1,0x01,0x01,0x00,0x20]
+0x05,0x00,0x43,0xd1,0x01,0x01,0x00,0x20
+
+# CHECK: v_cvt_i32_f64_e64 v5, |v[1:2]|    ; encoding: [0x05,0x01,0x43,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x01,0x43,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_i32_f64_e64 v5, v[1:2] clamp    ; encoding: [0x05,0x80,0x43,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x80,0x43,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f64_i32_e32 v[5:6], v1    ; encoding: [0x01,0x09,0x0a,0x7e]
+0x01,0x09,0x0a,0x7e
+
+# CHECK: v_cvt_f64_i32_e32 v[254:255], v1    ; encoding: [0x01,0x09,0xfc,0x7f]
+0x01,0x09,0xfc,0x7f
+
+# CHECK: v_cvt_f64_i32_e32 v[5:6], v255    ; encoding: [0xff,0x09,0x0a,0x7e]
+0xff,0x09,0x0a,0x7e
+
+# CHECK: v_cvt_f64_i32_e32 v[5:6], s1    ; encoding: [0x01,0x08,0x0a,0x7e]
+0x01,0x08,0x0a,0x7e
+
+# CHECK: v_cvt_f64_i32_e32 v[5:6], s101    ; encoding: [0x65,0x08,0x0a,0x7e]
+0x65,0x08,0x0a,0x7e
+
+# CHECK: v_cvt_f64_i32_e32 v[5:6], flat_scratch_lo    ; encoding: [0x66,0x08,0x0a,0x7e]
+0x66,0x08,0x0a,0x7e
+
+# CHECK: v_cvt_f64_i32_e32 v[5:6], flat_scratch_hi    ; encoding: [0x67,0x08,0x0a,0x7e]
+0x67,0x08,0x0a,0x7e
+
+# CHECK: v_cvt_f64_i32_e32 v[5:6], vcc_lo    ; encoding: [0x6a,0x08,0x0a,0x7e]
+0x6a,0x08,0x0a,0x7e
+
+# CHECK: v_cvt_f64_i32_e32 v[5:6], vcc_hi    ; encoding: [0x6b,0x08,0x0a,0x7e]
+0x6b,0x08,0x0a,0x7e
+
+# CHECK: v_cvt_f64_i32_e32 v[5:6], m0    ; encoding: [0x7c,0x08,0x0a,0x7e]
+0x7c,0x08,0x0a,0x7e
+
+# CHECK: v_cvt_f64_i32_e32 v[5:6], exec_lo    ; encoding: [0x7e,0x08,0x0a,0x7e]
+0x7e,0x08,0x0a,0x7e
+
+# CHECK: v_cvt_f64_i32_e32 v[5:6], exec_hi    ; encoding: [0x7f,0x08,0x0a,0x7e]
+0x7f,0x08,0x0a,0x7e
+
+# CHECK: v_cvt_f64_i32_e32 v[5:6], 0    ; encoding: [0x80,0x08,0x0a,0x7e]
+0x80,0x08,0x0a,0x7e
+
+# CHECK: v_cvt_f64_i32_e32 v[5:6], -1    ; encoding: [0xc1,0x08,0x0a,0x7e]
+0xc1,0x08,0x0a,0x7e
+
+# CHECK: v_cvt_f64_i32_e32 v[5:6], 0.5    ; encoding: [0xf0,0x08,0x0a,0x7e]
+0xf0,0x08,0x0a,0x7e
+
+# CHECK: v_cvt_f64_i32_e32 v[5:6], -4.0    ; encoding: [0xf7,0x08,0x0a,0x7e]
+0xf7,0x08,0x0a,0x7e
+
+# CHECK: v_cvt_f64_i32_e32 v[5:6], 0xaf123456    ; encoding: [0xff,0x08,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x08,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_cvt_f64_i32_e32 v[5:6], 0x3f717273    ; encoding: [0xff,0x08,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x08,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_cvt_f64_i32_e64 v[5:6], v1    ; encoding: [0x05,0x00,0x44,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x44,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f64_i32_e64 v[254:255], v1    ; encoding: [0xfe,0x00,0x44,0xd1,0x01,0x01,0x00,0x00]
+0xfe,0x00,0x44,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f64_i32_e64 v[5:6], v255    ; encoding: [0x05,0x00,0x44,0xd1,0xff,0x01,0x00,0x00]
+0x05,0x00,0x44,0xd1,0xff,0x01,0x00,0x00
+
+# CHECK: v_cvt_f64_i32_e64 v[5:6], s1    ; encoding: [0x05,0x00,0x44,0xd1,0x01,0x00,0x00,0x00]
+0x05,0x00,0x44,0xd1,0x01,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_i32_e64 v[5:6], s101    ; encoding: [0x05,0x00,0x44,0xd1,0x65,0x00,0x00,0x00]
+0x05,0x00,0x44,0xd1,0x65,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_i32_e64 v[5:6], flat_scratch_lo    ; encoding: [0x05,0x00,0x44,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x44,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_i32_e64 v[5:6], flat_scratch_hi    ; encoding: [0x05,0x00,0x44,0xd1,0x67,0x00,0x00,0x00]
+0x05,0x00,0x44,0xd1,0x67,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_i32_e64 v[5:6], vcc_lo    ; encoding: [0x05,0x00,0x44,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x44,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_i32_e64 v[5:6], vcc_hi    ; encoding: [0x05,0x00,0x44,0xd1,0x6b,0x00,0x00,0x00]
+0x05,0x00,0x44,0xd1,0x6b,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_i32_e64 v[5:6], m0    ; encoding: [0x05,0x00,0x44,0xd1,0x7c,0x00,0x00,0x00]
+0x05,0x00,0x44,0xd1,0x7c,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_i32_e64 v[5:6], exec_lo    ; encoding: [0x05,0x00,0x44,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x44,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_i32_e64 v[5:6], exec_hi    ; encoding: [0x05,0x00,0x44,0xd1,0x7f,0x00,0x00,0x00]
+0x05,0x00,0x44,0xd1,0x7f,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_i32_e64 v[5:6], 0    ; encoding: [0x05,0x00,0x44,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x44,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_i32_e64 v[5:6], -1    ; encoding: [0x05,0x00,0x44,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x44,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_i32_e64 v[5:6], 0.5    ; encoding: [0x05,0x00,0x44,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x44,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_i32_e64 v[5:6], -4.0    ; encoding: [0x05,0x00,0x44,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x44,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_i32_e64 v[5:6], v1 clamp    ; encoding: [0x05,0x80,0x44,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x80,0x44,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f64_i32_e64 v[5:6], v1 mul:2    ; encoding: [0x05,0x00,0x44,0xd1,0x01,0x01,0x00,0x08]
+0x05,0x00,0x44,0xd1,0x01,0x01,0x00,0x08
+
+# CHECK: v_cvt_f64_i32_e64 v[5:6], v1 mul:4    ; encoding: [0x05,0x00,0x44,0xd1,0x01,0x01,0x00,0x10]
+0x05,0x00,0x44,0xd1,0x01,0x01,0x00,0x10
+
+# CHECK: v_cvt_f64_i32_e64 v[5:6], v1 div:2    ; encoding: [0x05,0x00,0x44,0xd1,0x01,0x01,0x00,0x18]
+0x05,0x00,0x44,0xd1,0x01,0x01,0x00,0x18
+
+# CHECK: v_cvt_f32_i32_e32 v5, v1    ; encoding: [0x01,0x0b,0x0a,0x7e]
+0x01,0x0b,0x0a,0x7e
+
+# CHECK: v_cvt_f32_i32_e32 v255, v1    ; encoding: [0x01,0x0b,0xfe,0x7f]
+0x01,0x0b,0xfe,0x7f
+
+# CHECK: v_cvt_f32_i32_e32 v5, v255    ; encoding: [0xff,0x0b,0x0a,0x7e]
+0xff,0x0b,0x0a,0x7e
+
+# CHECK: v_cvt_f32_i32_e32 v5, s1    ; encoding: [0x01,0x0a,0x0a,0x7e]
+0x01,0x0a,0x0a,0x7e
+
+# CHECK: v_cvt_f32_i32_e32 v5, s101    ; encoding: [0x65,0x0a,0x0a,0x7e]
+0x65,0x0a,0x0a,0x7e
+
+# CHECK: v_cvt_f32_i32_e32 v5, flat_scratch_lo    ; encoding: [0x66,0x0a,0x0a,0x7e]
+0x66,0x0a,0x0a,0x7e
+
+# CHECK: v_cvt_f32_i32_e32 v5, flat_scratch_hi    ; encoding: [0x67,0x0a,0x0a,0x7e]
+0x67,0x0a,0x0a,0x7e
+
+# CHECK: v_cvt_f32_i32_e32 v5, vcc_lo    ; encoding: [0x6a,0x0a,0x0a,0x7e]
+0x6a,0x0a,0x0a,0x7e
+
+# CHECK: v_cvt_f32_i32_e32 v5, vcc_hi    ; encoding: [0x6b,0x0a,0x0a,0x7e]
+0x6b,0x0a,0x0a,0x7e
+
+# CHECK: v_cvt_f32_i32_e32 v5, m0    ; encoding: [0x7c,0x0a,0x0a,0x7e]
+0x7c,0x0a,0x0a,0x7e
+
+# CHECK: v_cvt_f32_i32_e32 v5, exec_lo    ; encoding: [0x7e,0x0a,0x0a,0x7e]
+0x7e,0x0a,0x0a,0x7e
+
+# CHECK: v_cvt_f32_i32_e32 v5, exec_hi    ; encoding: [0x7f,0x0a,0x0a,0x7e]
+0x7f,0x0a,0x0a,0x7e
+
+# CHECK: v_cvt_f32_i32_e32 v5, 0    ; encoding: [0x80,0x0a,0x0a,0x7e]
+0x80,0x0a,0x0a,0x7e
+
+# CHECK: v_cvt_f32_i32_e32 v5, -1    ; encoding: [0xc1,0x0a,0x0a,0x7e]
+0xc1,0x0a,0x0a,0x7e
+
+# CHECK: v_cvt_f32_i32_e32 v5, 0.5    ; encoding: [0xf0,0x0a,0x0a,0x7e]
+0xf0,0x0a,0x0a,0x7e
+
+# CHECK: v_cvt_f32_i32_e32 v5, -4.0    ; encoding: [0xf7,0x0a,0x0a,0x7e]
+0xf7,0x0a,0x0a,0x7e
+
+# CHECK: v_cvt_f32_i32_e32 v5, 0xaf123456    ; encoding: [0xff,0x0a,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x0a,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_cvt_f32_i32_e32 v5, 0x3f717273    ; encoding: [0xff,0x0a,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x0a,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_cvt_f32_i32_e64 v5, v1    ; encoding: [0x05,0x00,0x45,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x45,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_i32_e64 v255, v1    ; encoding: [0xff,0x00,0x45,0xd1,0x01,0x01,0x00,0x00]
+0xff,0x00,0x45,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_i32_e64 v5, v255    ; encoding: [0x05,0x00,0x45,0xd1,0xff,0x01,0x00,0x00]
+0x05,0x00,0x45,0xd1,0xff,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_i32_e64 v5, s1    ; encoding: [0x05,0x00,0x45,0xd1,0x01,0x00,0x00,0x00]
+0x05,0x00,0x45,0xd1,0x01,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_i32_e64 v5, s101    ; encoding: [0x05,0x00,0x45,0xd1,0x65,0x00,0x00,0x00]
+0x05,0x00,0x45,0xd1,0x65,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_i32_e64 v5, flat_scratch_lo    ; encoding: [0x05,0x00,0x45,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x45,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_i32_e64 v5, flat_scratch_hi    ; encoding: [0x05,0x00,0x45,0xd1,0x67,0x00,0x00,0x00]
+0x05,0x00,0x45,0xd1,0x67,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_i32_e64 v5, vcc_lo    ; encoding: [0x05,0x00,0x45,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x45,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_i32_e64 v5, vcc_hi    ; encoding: [0x05,0x00,0x45,0xd1,0x6b,0x00,0x00,0x00]
+0x05,0x00,0x45,0xd1,0x6b,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_i32_e64 v5, m0    ; encoding: [0x05,0x00,0x45,0xd1,0x7c,0x00,0x00,0x00]
+0x05,0x00,0x45,0xd1,0x7c,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_i32_e64 v5, exec_lo    ; encoding: [0x05,0x00,0x45,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x45,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_i32_e64 v5, exec_hi    ; encoding: [0x05,0x00,0x45,0xd1,0x7f,0x00,0x00,0x00]
+0x05,0x00,0x45,0xd1,0x7f,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_i32_e64 v5, 0    ; encoding: [0x05,0x00,0x45,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x45,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_i32_e64 v5, -1    ; encoding: [0x05,0x00,0x45,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x45,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_i32_e64 v5, 0.5    ; encoding: [0x05,0x00,0x45,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x45,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_i32_e64 v5, -4.0    ; encoding: [0x05,0x00,0x45,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x45,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_i32_e64 v5, v1 clamp    ; encoding: [0x05,0x80,0x45,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x80,0x45,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_i32_e64 v5, v1 mul:2    ; encoding: [0x05,0x00,0x45,0xd1,0x01,0x01,0x00,0x08]
+0x05,0x00,0x45,0xd1,0x01,0x01,0x00,0x08
+
+# CHECK: v_cvt_f32_i32_e64 v5, v1 mul:4    ; encoding: [0x05,0x00,0x45,0xd1,0x01,0x01,0x00,0x10]
+0x05,0x00,0x45,0xd1,0x01,0x01,0x00,0x10
+
+# CHECK: v_cvt_f32_i32_e64 v5, v1 div:2    ; encoding: [0x05,0x00,0x45,0xd1,0x01,0x01,0x00,0x18]
+0x05,0x00,0x45,0xd1,0x01,0x01,0x00,0x18
+
+# CHECK: v_cvt_f32_u32_e32 v5, v1    ; encoding: [0x01,0x0d,0x0a,0x7e]
+0x01,0x0d,0x0a,0x7e
+
+# CHECK: v_cvt_f32_u32_e32 v255, v1    ; encoding: [0x01,0x0d,0xfe,0x7f]
+0x01,0x0d,0xfe,0x7f
+
+# CHECK: v_cvt_f32_u32_e32 v5, v255    ; encoding: [0xff,0x0d,0x0a,0x7e]
+0xff,0x0d,0x0a,0x7e
+
+# CHECK: v_cvt_f32_u32_e32 v5, s1    ; encoding: [0x01,0x0c,0x0a,0x7e]
+0x01,0x0c,0x0a,0x7e
+
+# CHECK: v_cvt_f32_u32_e32 v5, s101    ; encoding: [0x65,0x0c,0x0a,0x7e]
+0x65,0x0c,0x0a,0x7e
+
+# CHECK: v_cvt_f32_u32_e32 v5, flat_scratch_lo    ; encoding: [0x66,0x0c,0x0a,0x7e]
+0x66,0x0c,0x0a,0x7e
+
+# CHECK: v_cvt_f32_u32_e32 v5, flat_scratch_hi    ; encoding: [0x67,0x0c,0x0a,0x7e]
+0x67,0x0c,0x0a,0x7e
+
+# CHECK: v_cvt_f32_u32_e32 v5, vcc_lo    ; encoding: [0x6a,0x0c,0x0a,0x7e]
+0x6a,0x0c,0x0a,0x7e
+
+# CHECK: v_cvt_f32_u32_e32 v5, vcc_hi    ; encoding: [0x6b,0x0c,0x0a,0x7e]
+0x6b,0x0c,0x0a,0x7e
+
+# CHECK: v_cvt_f32_u32_e32 v5, m0    ; encoding: [0x7c,0x0c,0x0a,0x7e]
+0x7c,0x0c,0x0a,0x7e
+
+# CHECK: v_cvt_f32_u32_e32 v5, exec_lo    ; encoding: [0x7e,0x0c,0x0a,0x7e]
+0x7e,0x0c,0x0a,0x7e
+
+# CHECK: v_cvt_f32_u32_e32 v5, exec_hi    ; encoding: [0x7f,0x0c,0x0a,0x7e]
+0x7f,0x0c,0x0a,0x7e
+
+# CHECK: v_cvt_f32_u32_e32 v5, 0    ; encoding: [0x80,0x0c,0x0a,0x7e]
+0x80,0x0c,0x0a,0x7e
+
+# CHECK: v_cvt_f32_u32_e32 v5, -1    ; encoding: [0xc1,0x0c,0x0a,0x7e]
+0xc1,0x0c,0x0a,0x7e
+
+# CHECK: v_cvt_f32_u32_e32 v5, 0.5    ; encoding: [0xf0,0x0c,0x0a,0x7e]
+0xf0,0x0c,0x0a,0x7e
+
+# CHECK: v_cvt_f32_u32_e32 v5, -4.0    ; encoding: [0xf7,0x0c,0x0a,0x7e]
+0xf7,0x0c,0x0a,0x7e
+
+# CHECK: v_cvt_f32_u32_e32 v5, 0xaf123456    ; encoding: [0xff,0x0c,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x0c,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_cvt_f32_u32_e32 v5, 0x3f717273    ; encoding: [0xff,0x0c,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x0c,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_cvt_f32_u32_e64 v5, v1    ; encoding: [0x05,0x00,0x46,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x46,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_u32_e64 v255, v1    ; encoding: [0xff,0x00,0x46,0xd1,0x01,0x01,0x00,0x00]
+0xff,0x00,0x46,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_u32_e64 v5, v255    ; encoding: [0x05,0x00,0x46,0xd1,0xff,0x01,0x00,0x00]
+0x05,0x00,0x46,0xd1,0xff,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_u32_e64 v5, s1    ; encoding: [0x05,0x00,0x46,0xd1,0x01,0x00,0x00,0x00]
+0x05,0x00,0x46,0xd1,0x01,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_u32_e64 v5, s101    ; encoding: [0x05,0x00,0x46,0xd1,0x65,0x00,0x00,0x00]
+0x05,0x00,0x46,0xd1,0x65,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_u32_e64 v5, flat_scratch_lo    ; encoding: [0x05,0x00,0x46,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x46,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_u32_e64 v5, flat_scratch_hi    ; encoding: [0x05,0x00,0x46,0xd1,0x67,0x00,0x00,0x00]
+0x05,0x00,0x46,0xd1,0x67,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_u32_e64 v5, vcc_lo    ; encoding: [0x05,0x00,0x46,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x46,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_u32_e64 v5, vcc_hi    ; encoding: [0x05,0x00,0x46,0xd1,0x6b,0x00,0x00,0x00]
+0x05,0x00,0x46,0xd1,0x6b,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_u32_e64 v5, m0    ; encoding: [0x05,0x00,0x46,0xd1,0x7c,0x00,0x00,0x00]
+0x05,0x00,0x46,0xd1,0x7c,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_u32_e64 v5, exec_lo    ; encoding: [0x05,0x00,0x46,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x46,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_u32_e64 v5, exec_hi    ; encoding: [0x05,0x00,0x46,0xd1,0x7f,0x00,0x00,0x00]
+0x05,0x00,0x46,0xd1,0x7f,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_u32_e64 v5, 0    ; encoding: [0x05,0x00,0x46,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x46,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_u32_e64 v5, -1    ; encoding: [0x05,0x00,0x46,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x46,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_u32_e64 v5, 0.5    ; encoding: [0x05,0x00,0x46,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x46,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_u32_e64 v5, -4.0    ; encoding: [0x05,0x00,0x46,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x46,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_u32_e64 v5, v1 clamp    ; encoding: [0x05,0x80,0x46,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x80,0x46,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_u32_e64 v5, v1 mul:2    ; encoding: [0x05,0x00,0x46,0xd1,0x01,0x01,0x00,0x08]
+0x05,0x00,0x46,0xd1,0x01,0x01,0x00,0x08
+
+# CHECK: v_cvt_f32_u32_e64 v5, v1 mul:4    ; encoding: [0x05,0x00,0x46,0xd1,0x01,0x01,0x00,0x10]
+0x05,0x00,0x46,0xd1,0x01,0x01,0x00,0x10
+
+# CHECK: v_cvt_f32_u32_e64 v5, v1 div:2    ; encoding: [0x05,0x00,0x46,0xd1,0x01,0x01,0x00,0x18]
+0x05,0x00,0x46,0xd1,0x01,0x01,0x00,0x18
+
+# CHECK: v_cvt_u32_f32_e32 v5, v1    ; encoding: [0x01,0x0f,0x0a,0x7e]
+0x01,0x0f,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f32_e32 v255, v1    ; encoding: [0x01,0x0f,0xfe,0x7f]
+0x01,0x0f,0xfe,0x7f
+
+# CHECK: v_cvt_u32_f32_e32 v5, v255    ; encoding: [0xff,0x0f,0x0a,0x7e]
+0xff,0x0f,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f32_e32 v5, s1    ; encoding: [0x01,0x0e,0x0a,0x7e]
+0x01,0x0e,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f32_e32 v5, s101    ; encoding: [0x65,0x0e,0x0a,0x7e]
+0x65,0x0e,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f32_e32 v5, flat_scratch_lo    ; encoding: [0x66,0x0e,0x0a,0x7e]
+0x66,0x0e,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f32_e32 v5, flat_scratch_hi    ; encoding: [0x67,0x0e,0x0a,0x7e]
+0x67,0x0e,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f32_e32 v5, vcc_lo    ; encoding: [0x6a,0x0e,0x0a,0x7e]
+0x6a,0x0e,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f32_e32 v5, vcc_hi    ; encoding: [0x6b,0x0e,0x0a,0x7e]
+0x6b,0x0e,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f32_e32 v5, m0    ; encoding: [0x7c,0x0e,0x0a,0x7e]
+0x7c,0x0e,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f32_e32 v5, exec_lo    ; encoding: [0x7e,0x0e,0x0a,0x7e]
+0x7e,0x0e,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f32_e32 v5, exec_hi    ; encoding: [0x7f,0x0e,0x0a,0x7e]
+0x7f,0x0e,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f32_e32 v5, 0    ; encoding: [0x80,0x0e,0x0a,0x7e]
+0x80,0x0e,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f32_e32 v5, -1    ; encoding: [0xc1,0x0e,0x0a,0x7e]
+0xc1,0x0e,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f32_e32 v5, 0.5    ; encoding: [0xf0,0x0e,0x0a,0x7e]
+0xf0,0x0e,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f32_e32 v5, -4.0    ; encoding: [0xf7,0x0e,0x0a,0x7e]
+0xf7,0x0e,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f32_e32 v5, 0xaf123456    ; encoding: [0xff,0x0e,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x0e,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_cvt_u32_f32_e32 v5, 0x3f717273    ; encoding: [0xff,0x0e,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x0e,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_cvt_u32_f32_e64 v5, v1    ; encoding: [0x05,0x00,0x47,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x47,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_u32_f32_e64 v255, v1    ; encoding: [0xff,0x00,0x47,0xd1,0x01,0x01,0x00,0x00]
+0xff,0x00,0x47,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_u32_f32_e64 v5, v255    ; encoding: [0x05,0x00,0x47,0xd1,0xff,0x01,0x00,0x00]
+0x05,0x00,0x47,0xd1,0xff,0x01,0x00,0x00
+
+# CHECK: v_cvt_u32_f32_e64 v5, s1    ; encoding: [0x05,0x00,0x47,0xd1,0x01,0x00,0x00,0x00]
+0x05,0x00,0x47,0xd1,0x01,0x00,0x00,0x00
+
+# CHECK: v_cvt_u32_f32_e64 v5, s101    ; encoding: [0x05,0x00,0x47,0xd1,0x65,0x00,0x00,0x00]
+0x05,0x00,0x47,0xd1,0x65,0x00,0x00,0x00
+
+# CHECK: v_cvt_u32_f32_e64 v5, flat_scratch_lo    ; encoding: [0x05,0x00,0x47,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x47,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_cvt_u32_f32_e64 v5, flat_scratch_hi    ; encoding: [0x05,0x00,0x47,0xd1,0x67,0x00,0x00,0x00]
+0x05,0x00,0x47,0xd1,0x67,0x00,0x00,0x00
+
+# CHECK: v_cvt_u32_f32_e64 v5, vcc_lo    ; encoding: [0x05,0x00,0x47,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x47,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_cvt_u32_f32_e64 v5, vcc_hi    ; encoding: [0x05,0x00,0x47,0xd1,0x6b,0x00,0x00,0x00]
+0x05,0x00,0x47,0xd1,0x6b,0x00,0x00,0x00
+
+# CHECK: v_cvt_u32_f32_e64 v5, m0    ; encoding: [0x05,0x00,0x47,0xd1,0x7c,0x00,0x00,0x00]
+0x05,0x00,0x47,0xd1,0x7c,0x00,0x00,0x00
+
+# CHECK: v_cvt_u32_f32_e64 v5, exec_lo    ; encoding: [0x05,0x00,0x47,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x47,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_cvt_u32_f32_e64 v5, exec_hi    ; encoding: [0x05,0x00,0x47,0xd1,0x7f,0x00,0x00,0x00]
+0x05,0x00,0x47,0xd1,0x7f,0x00,0x00,0x00
+
+# CHECK: v_cvt_u32_f32_e64 v5, 0    ; encoding: [0x05,0x00,0x47,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x47,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_cvt_u32_f32_e64 v5, -1    ; encoding: [0x05,0x00,0x47,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x47,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_cvt_u32_f32_e64 v5, 0.5    ; encoding: [0x05,0x00,0x47,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x47,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_cvt_u32_f32_e64 v5, -4.0    ; encoding: [0x05,0x00,0x47,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x47,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_cvt_u32_f32_e64 v5, -v1    ; encoding: [0x05,0x00,0x47,0xd1,0x01,0x01,0x00,0x20]
+0x05,0x00,0x47,0xd1,0x01,0x01,0x00,0x20
+
+# CHECK: v_cvt_u32_f32_e64 v5, |v1|    ; encoding: [0x05,0x01,0x47,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x01,0x47,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_u32_f32_e64 v5, v1 clamp    ; encoding: [0x05,0x80,0x47,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x80,0x47,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_i32_f32_e32 v5, v1    ; encoding: [0x01,0x11,0x0a,0x7e]
+0x01,0x11,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f32_e32 v255, v1    ; encoding: [0x01,0x11,0xfe,0x7f]
+0x01,0x11,0xfe,0x7f
+
+# CHECK: v_cvt_i32_f32_e32 v5, v255    ; encoding: [0xff,0x11,0x0a,0x7e]
+0xff,0x11,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f32_e32 v5, s1    ; encoding: [0x01,0x10,0x0a,0x7e]
+0x01,0x10,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f32_e32 v5, s101    ; encoding: [0x65,0x10,0x0a,0x7e]
+0x65,0x10,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f32_e32 v5, flat_scratch_lo    ; encoding: [0x66,0x10,0x0a,0x7e]
+0x66,0x10,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f32_e32 v5, flat_scratch_hi    ; encoding: [0x67,0x10,0x0a,0x7e]
+0x67,0x10,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f32_e32 v5, vcc_lo    ; encoding: [0x6a,0x10,0x0a,0x7e]
+0x6a,0x10,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f32_e32 v5, vcc_hi    ; encoding: [0x6b,0x10,0x0a,0x7e]
+0x6b,0x10,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f32_e32 v5, m0    ; encoding: [0x7c,0x10,0x0a,0x7e]
+0x7c,0x10,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f32_e32 v5, exec_lo    ; encoding: [0x7e,0x10,0x0a,0x7e]
+0x7e,0x10,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f32_e32 v5, exec_hi    ; encoding: [0x7f,0x10,0x0a,0x7e]
+0x7f,0x10,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f32_e32 v5, 0    ; encoding: [0x80,0x10,0x0a,0x7e]
+0x80,0x10,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f32_e32 v5, -1    ; encoding: [0xc1,0x10,0x0a,0x7e]
+0xc1,0x10,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f32_e32 v5, 0.5    ; encoding: [0xf0,0x10,0x0a,0x7e]
+0xf0,0x10,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f32_e32 v5, -4.0    ; encoding: [0xf7,0x10,0x0a,0x7e]
+0xf7,0x10,0x0a,0x7e
+
+# CHECK: v_cvt_i32_f32_e32 v5, 0xaf123456    ; encoding: [0xff,0x10,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x10,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_cvt_i32_f32_e32 v5, 0x3f717273    ; encoding: [0xff,0x10,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x10,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_cvt_i32_f32_e64 v5, v1    ; encoding: [0x05,0x00,0x48,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x48,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_i32_f32_e64 v255, v1    ; encoding: [0xff,0x00,0x48,0xd1,0x01,0x01,0x00,0x00]
+0xff,0x00,0x48,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_i32_f32_e64 v5, v255    ; encoding: [0x05,0x00,0x48,0xd1,0xff,0x01,0x00,0x00]
+0x05,0x00,0x48,0xd1,0xff,0x01,0x00,0x00
+
+# CHECK: v_cvt_i32_f32_e64 v5, s1    ; encoding: [0x05,0x00,0x48,0xd1,0x01,0x00,0x00,0x00]
+0x05,0x00,0x48,0xd1,0x01,0x00,0x00,0x00
+
+# CHECK: v_cvt_i32_f32_e64 v5, s101    ; encoding: [0x05,0x00,0x48,0xd1,0x65,0x00,0x00,0x00]
+0x05,0x00,0x48,0xd1,0x65,0x00,0x00,0x00
+
+# CHECK: v_cvt_i32_f32_e64 v5, flat_scratch_lo    ; encoding: [0x05,0x00,0x48,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x48,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_cvt_i32_f32_e64 v5, flat_scratch_hi    ; encoding: [0x05,0x00,0x48,0xd1,0x67,0x00,0x00,0x00]
+0x05,0x00,0x48,0xd1,0x67,0x00,0x00,0x00
+
+# CHECK: v_cvt_i32_f32_e64 v5, vcc_lo    ; encoding: [0x05,0x00,0x48,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x48,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_cvt_i32_f32_e64 v5, vcc_hi    ; encoding: [0x05,0x00,0x48,0xd1,0x6b,0x00,0x00,0x00]
+0x05,0x00,0x48,0xd1,0x6b,0x00,0x00,0x00
+
+# CHECK: v_cvt_i32_f32_e64 v5, m0    ; encoding: [0x05,0x00,0x48,0xd1,0x7c,0x00,0x00,0x00]
+0x05,0x00,0x48,0xd1,0x7c,0x00,0x00,0x00
+
+# CHECK: v_cvt_i32_f32_e64 v5, exec_lo    ; encoding: [0x05,0x00,0x48,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x48,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_cvt_i32_f32_e64 v5, exec_hi    ; encoding: [0x05,0x00,0x48,0xd1,0x7f,0x00,0x00,0x00]
+0x05,0x00,0x48,0xd1,0x7f,0x00,0x00,0x00
+
+# CHECK: v_cvt_i32_f32_e64 v5, 0    ; encoding: [0x05,0x00,0x48,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x48,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_cvt_i32_f32_e64 v5, -1    ; encoding: [0x05,0x00,0x48,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x48,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_cvt_i32_f32_e64 v5, 0.5    ; encoding: [0x05,0x00,0x48,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x48,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_cvt_i32_f32_e64 v5, -4.0    ; encoding: [0x05,0x00,0x48,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x48,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_cvt_i32_f32_e64 v5, -v1    ; encoding: [0x05,0x00,0x48,0xd1,0x01,0x01,0x00,0x20]
+0x05,0x00,0x48,0xd1,0x01,0x01,0x00,0x20
+
+# CHECK: v_cvt_i32_f32_e64 v5, |v1|    ; encoding: [0x05,0x01,0x48,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x01,0x48,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_i32_f32_e64 v5, v1 clamp    ; encoding: [0x05,0x80,0x48,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x80,0x48,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_mov_fed_b32_e32 v5, v1    ; encoding: [0x01,0x13,0x0a,0x7e]
+0x01,0x13,0x0a,0x7e
+
+# CHECK: v_mov_fed_b32_e32 v255, v1    ; encoding: [0x01,0x13,0xfe,0x7f]
+0x01,0x13,0xfe,0x7f
+
+# CHECK: v_mov_fed_b32_e32 v5, v255    ; encoding: [0xff,0x13,0x0a,0x7e]
+0xff,0x13,0x0a,0x7e
+
+# CHECK: v_mov_fed_b32_e32 v5, s1    ; encoding: [0x01,0x12,0x0a,0x7e]
+0x01,0x12,0x0a,0x7e
+
+# CHECK: v_mov_fed_b32_e32 v5, s101    ; encoding: [0x65,0x12,0x0a,0x7e]
+0x65,0x12,0x0a,0x7e
+
+# CHECK: v_mov_fed_b32_e32 v5, flat_scratch_lo    ; encoding: [0x66,0x12,0x0a,0x7e]
+0x66,0x12,0x0a,0x7e
+
+# CHECK: v_mov_fed_b32_e32 v5, flat_scratch_hi    ; encoding: [0x67,0x12,0x0a,0x7e]
+0x67,0x12,0x0a,0x7e
+
+# CHECK: v_mov_fed_b32_e32 v5, vcc_lo    ; encoding: [0x6a,0x12,0x0a,0x7e]
+0x6a,0x12,0x0a,0x7e
+
+# CHECK: v_mov_fed_b32_e32 v5, vcc_hi    ; encoding: [0x6b,0x12,0x0a,0x7e]
+0x6b,0x12,0x0a,0x7e
+
+# CHECK: v_mov_fed_b32_e32 v5, m0    ; encoding: [0x7c,0x12,0x0a,0x7e]
+0x7c,0x12,0x0a,0x7e
+
+# CHECK: v_mov_fed_b32_e32 v5, exec_lo    ; encoding: [0x7e,0x12,0x0a,0x7e]
+0x7e,0x12,0x0a,0x7e
+
+# CHECK: v_mov_fed_b32_e32 v5, exec_hi    ; encoding: [0x7f,0x12,0x0a,0x7e]
+0x7f,0x12,0x0a,0x7e
+
+# CHECK: v_mov_fed_b32_e32 v5, 0    ; encoding: [0x80,0x12,0x0a,0x7e]
+0x80,0x12,0x0a,0x7e
+
+# CHECK: v_mov_fed_b32_e32 v5, -1    ; encoding: [0xc1,0x12,0x0a,0x7e]
+0xc1,0x12,0x0a,0x7e
+
+# CHECK: v_mov_fed_b32_e32 v5, 0.5    ; encoding: [0xf0,0x12,0x0a,0x7e]
+0xf0,0x12,0x0a,0x7e
+
+# CHECK: v_mov_fed_b32_e32 v5, -4.0    ; encoding: [0xf7,0x12,0x0a,0x7e]
+0xf7,0x12,0x0a,0x7e
+
+# CHECK: v_mov_fed_b32_e32 v5, 0xaf123456    ; encoding: [0xff,0x12,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x12,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_mov_fed_b32_e32 v5, 0x3f717273    ; encoding: [0xff,0x12,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x12,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_mov_fed_b32_e64 v5, v1    ; encoding: [0x05,0x00,0x49,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x49,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_mov_fed_b32_e64 v255, v1    ; encoding: [0xff,0x00,0x49,0xd1,0x01,0x01,0x00,0x00]
+0xff,0x00,0x49,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_mov_fed_b32_e64 v5, v255    ; encoding: [0x05,0x00,0x49,0xd1,0xff,0x01,0x00,0x00]
+0x05,0x00,0x49,0xd1,0xff,0x01,0x00,0x00
+
+# CHECK: v_mov_fed_b32_e64 v5, s1    ; encoding: [0x05,0x00,0x49,0xd1,0x01,0x00,0x00,0x00]
+0x05,0x00,0x49,0xd1,0x01,0x00,0x00,0x00
+
+# CHECK: v_mov_fed_b32_e64 v5, s101    ; encoding: [0x05,0x00,0x49,0xd1,0x65,0x00,0x00,0x00]
+0x05,0x00,0x49,0xd1,0x65,0x00,0x00,0x00
+
+# CHECK: v_mov_fed_b32_e64 v5, flat_scratch_lo    ; encoding: [0x05,0x00,0x49,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x49,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_mov_fed_b32_e64 v5, flat_scratch_hi    ; encoding: [0x05,0x00,0x49,0xd1,0x67,0x00,0x00,0x00]
+0x05,0x00,0x49,0xd1,0x67,0x00,0x00,0x00
+
+# CHECK: v_mov_fed_b32_e64 v5, vcc_lo    ; encoding: [0x05,0x00,0x49,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x49,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_mov_fed_b32_e64 v5, vcc_hi    ; encoding: [0x05,0x00,0x49,0xd1,0x6b,0x00,0x00,0x00]
+0x05,0x00,0x49,0xd1,0x6b,0x00,0x00,0x00
+
+# CHECK: v_mov_fed_b32_e64 v5, m0    ; encoding: [0x05,0x00,0x49,0xd1,0x7c,0x00,0x00,0x00]
+0x05,0x00,0x49,0xd1,0x7c,0x00,0x00,0x00
+
+# CHECK: v_mov_fed_b32_e64 v5, exec_lo    ; encoding: [0x05,0x00,0x49,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x49,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_mov_fed_b32_e64 v5, exec_hi    ; encoding: [0x05,0x00,0x49,0xd1,0x7f,0x00,0x00,0x00]
+0x05,0x00,0x49,0xd1,0x7f,0x00,0x00,0x00
+
+# CHECK: v_mov_fed_b32_e64 v5, 0    ; encoding: [0x05,0x00,0x49,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x49,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_mov_fed_b32_e64 v5, -1    ; encoding: [0x05,0x00,0x49,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x49,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_mov_fed_b32_e64 v5, 0.5    ; encoding: [0x05,0x00,0x49,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x49,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_mov_fed_b32_e64 v5, -4.0    ; encoding: [0x05,0x00,0x49,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x49,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_cvt_f16_f32_e32 v5, v1    ; encoding: [0x01,0x15,0x0a,0x7e]
+0x01,0x15,0x0a,0x7e
+
+# CHECK: v_cvt_f16_f32_e32 v255, v1    ; encoding: [0x01,0x15,0xfe,0x7f]
+0x01,0x15,0xfe,0x7f
+
+# CHECK: v_cvt_f16_f32_e32 v5, v255    ; encoding: [0xff,0x15,0x0a,0x7e]
+0xff,0x15,0x0a,0x7e
+
+# CHECK: v_cvt_f16_f32_e32 v5, s1    ; encoding: [0x01,0x14,0x0a,0x7e]
+0x01,0x14,0x0a,0x7e
+
+# CHECK: v_cvt_f16_f32_e32 v5, s101    ; encoding: [0x65,0x14,0x0a,0x7e]
+0x65,0x14,0x0a,0x7e
+
+# CHECK: v_cvt_f16_f32_e32 v5, flat_scratch_lo    ; encoding: [0x66,0x14,0x0a,0x7e]
+0x66,0x14,0x0a,0x7e
+
+# CHECK: v_cvt_f16_f32_e32 v5, flat_scratch_hi    ; encoding: [0x67,0x14,0x0a,0x7e]
+0x67,0x14,0x0a,0x7e
+
+# CHECK: v_cvt_f16_f32_e32 v5, vcc_lo    ; encoding: [0x6a,0x14,0x0a,0x7e]
+0x6a,0x14,0x0a,0x7e
+
+# CHECK: v_cvt_f16_f32_e32 v5, vcc_hi    ; encoding: [0x6b,0x14,0x0a,0x7e]
+0x6b,0x14,0x0a,0x7e
+
+# CHECK: v_cvt_f16_f32_e32 v5, m0    ; encoding: [0x7c,0x14,0x0a,0x7e]
+0x7c,0x14,0x0a,0x7e
+
+# CHECK: v_cvt_f16_f32_e32 v5, exec_lo    ; encoding: [0x7e,0x14,0x0a,0x7e]
+0x7e,0x14,0x0a,0x7e
+
+# CHECK: v_cvt_f16_f32_e32 v5, exec_hi    ; encoding: [0x7f,0x14,0x0a,0x7e]
+0x7f,0x14,0x0a,0x7e
+
+# CHECK: v_cvt_f16_f32_e32 v5, 0    ; encoding: [0x80,0x14,0x0a,0x7e]
+0x80,0x14,0x0a,0x7e
+
+# CHECK: v_cvt_f16_f32_e32 v5, -1    ; encoding: [0xc1,0x14,0x0a,0x7e]
+0xc1,0x14,0x0a,0x7e
+
+# CHECK: v_cvt_f16_f32_e32 v5, 0.5    ; encoding: [0xf0,0x14,0x0a,0x7e]
+0xf0,0x14,0x0a,0x7e
+
+# CHECK: v_cvt_f16_f32_e32 v5, -4.0    ; encoding: [0xf7,0x14,0x0a,0x7e]
+0xf7,0x14,0x0a,0x7e
+
+# CHECK: v_cvt_f16_f32_e32 v5, 0xaf123456    ; encoding: [0xff,0x14,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x14,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_cvt_f16_f32_e32 v5, 0x3f717273    ; encoding: [0xff,0x14,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x14,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_cvt_f16_f32_e64 v5, v1    ; encoding: [0x05,0x00,0x4a,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x4a,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f16_f32_e64 v255, v1    ; encoding: [0xff,0x00,0x4a,0xd1,0x01,0x01,0x00,0x00]
+0xff,0x00,0x4a,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f16_f32_e64 v5, v255    ; encoding: [0x05,0x00,0x4a,0xd1,0xff,0x01,0x00,0x00]
+0x05,0x00,0x4a,0xd1,0xff,0x01,0x00,0x00
+
+# CHECK: v_cvt_f16_f32_e64 v5, s1    ; encoding: [0x05,0x00,0x4a,0xd1,0x01,0x00,0x00,0x00]
+0x05,0x00,0x4a,0xd1,0x01,0x00,0x00,0x00
+
+# CHECK: v_cvt_f16_f32_e64 v5, s101    ; encoding: [0x05,0x00,0x4a,0xd1,0x65,0x00,0x00,0x00]
+0x05,0x00,0x4a,0xd1,0x65,0x00,0x00,0x00
+
+# CHECK: v_cvt_f16_f32_e64 v5, flat_scratch_lo    ; encoding: [0x05,0x00,0x4a,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x4a,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_cvt_f16_f32_e64 v5, flat_scratch_hi    ; encoding: [0x05,0x00,0x4a,0xd1,0x67,0x00,0x00,0x00]
+0x05,0x00,0x4a,0xd1,0x67,0x00,0x00,0x00
+
+# CHECK: v_cvt_f16_f32_e64 v5, vcc_lo    ; encoding: [0x05,0x00,0x4a,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x4a,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_cvt_f16_f32_e64 v5, vcc_hi    ; encoding: [0x05,0x00,0x4a,0xd1,0x6b,0x00,0x00,0x00]
+0x05,0x00,0x4a,0xd1,0x6b,0x00,0x00,0x00
+
+# CHECK: v_cvt_f16_f32_e64 v5, m0    ; encoding: [0x05,0x00,0x4a,0xd1,0x7c,0x00,0x00,0x00]
+0x05,0x00,0x4a,0xd1,0x7c,0x00,0x00,0x00
+
+# CHECK: v_cvt_f16_f32_e64 v5, exec_lo    ; encoding: [0x05,0x00,0x4a,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x4a,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_cvt_f16_f32_e64 v5, exec_hi    ; encoding: [0x05,0x00,0x4a,0xd1,0x7f,0x00,0x00,0x00]
+0x05,0x00,0x4a,0xd1,0x7f,0x00,0x00,0x00
+
+# CHECK: v_cvt_f16_f32_e64 v5, 0    ; encoding: [0x05,0x00,0x4a,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x4a,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_cvt_f16_f32_e64 v5, -1    ; encoding: [0x05,0x00,0x4a,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x4a,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_cvt_f16_f32_e64 v5, 0.5    ; encoding: [0x05,0x00,0x4a,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x4a,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_cvt_f16_f32_e64 v5, -4.0    ; encoding: [0x05,0x00,0x4a,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x4a,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_cvt_f16_f32_e64 v5, -v1    ; encoding: [0x05,0x00,0x4a,0xd1,0x01,0x01,0x00,0x20]
+0x05,0x00,0x4a,0xd1,0x01,0x01,0x00,0x20
+
+# CHECK: v_cvt_f16_f32_e64 v5, |v1|    ; encoding: [0x05,0x01,0x4a,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x01,0x4a,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f16_f32_e64 v5, v1 clamp    ; encoding: [0x05,0x80,0x4a,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x80,0x4a,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f16_f32_e64 v5, v1 mul:2    ; encoding: [0x05,0x00,0x4a,0xd1,0x01,0x01,0x00,0x08]
+0x05,0x00,0x4a,0xd1,0x01,0x01,0x00,0x08
+
+# CHECK: v_cvt_f16_f32_e64 v5, v1 mul:4    ; encoding: [0x05,0x00,0x4a,0xd1,0x01,0x01,0x00,0x10]
+0x05,0x00,0x4a,0xd1,0x01,0x01,0x00,0x10
+
+# CHECK: v_cvt_f16_f32_e64 v5, v1 div:2    ; encoding: [0x05,0x00,0x4a,0xd1,0x01,0x01,0x00,0x18]
+0x05,0x00,0x4a,0xd1,0x01,0x01,0x00,0x18
+
+# CHECK: v_cvt_f32_f16_e32 v5, v1    ; encoding: [0x01,0x17,0x0a,0x7e]
+0x01,0x17,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f16_e32 v255, v1    ; encoding: [0x01,0x17,0xfe,0x7f]
+0x01,0x17,0xfe,0x7f
+
+# CHECK: v_cvt_f32_f16_e32 v5, v255    ; encoding: [0xff,0x17,0x0a,0x7e]
+0xff,0x17,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f16_e32 v5, s1    ; encoding: [0x01,0x16,0x0a,0x7e]
+0x01,0x16,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f16_e32 v5, s101    ; encoding: [0x65,0x16,0x0a,0x7e]
+0x65,0x16,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f16_e32 v5, flat_scratch_lo    ; encoding: [0x66,0x16,0x0a,0x7e]
+0x66,0x16,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f16_e32 v5, flat_scratch_hi    ; encoding: [0x67,0x16,0x0a,0x7e]
+0x67,0x16,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f16_e32 v5, vcc_lo    ; encoding: [0x6a,0x16,0x0a,0x7e]
+0x6a,0x16,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f16_e32 v5, vcc_hi    ; encoding: [0x6b,0x16,0x0a,0x7e]
+0x6b,0x16,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f16_e32 v5, m0    ; encoding: [0x7c,0x16,0x0a,0x7e]
+0x7c,0x16,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f16_e32 v5, exec_lo    ; encoding: [0x7e,0x16,0x0a,0x7e]
+0x7e,0x16,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f16_e32 v5, exec_hi    ; encoding: [0x7f,0x16,0x0a,0x7e]
+0x7f,0x16,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f16_e32 v5, 0    ; encoding: [0x80,0x16,0x0a,0x7e]
+0x80,0x16,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f16_e32 v5, -1    ; encoding: [0xc1,0x16,0x0a,0x7e]
+0xc1,0x16,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f16_e32 v5, 0.5    ; encoding: [0xf0,0x16,0x0a,0x7e]
+0xf0,0x16,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f16_e32 v5, -4.0    ; encoding: [0xf7,0x16,0x0a,0x7e]
+0xf7,0x16,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f16_e32 v5, 0xfe0b    ; encoding: [0xff,0x16,0x0a,0x7e,0x0b,0xfe,0x00,0x00]
+0xff,0x16,0x0a,0x7e,0x0b,0xfe,0x00,0x00
+
+# CHECK: v_cvt_f32_f16_e32 v5, 0x3456    ; encoding: [0xff,0x16,0x0a,0x7e,0x56,0x34,0x00,0x00]
+0xff,0x16,0x0a,0x7e,0x56,0x34,0x00,0x00
+
+# CHECK: v_cvt_f32_f16_e64 v5, v1    ; encoding: [0x05,0x00,0x4b,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x4b,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_f16_e64 v255, v1    ; encoding: [0xff,0x00,0x4b,0xd1,0x01,0x01,0x00,0x00]
+0xff,0x00,0x4b,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_f16_e64 v5, v255    ; encoding: [0x05,0x00,0x4b,0xd1,0xff,0x01,0x00,0x00]
+0x05,0x00,0x4b,0xd1,0xff,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_f16_e64 v5, s1    ; encoding: [0x05,0x00,0x4b,0xd1,0x01,0x00,0x00,0x00]
+0x05,0x00,0x4b,0xd1,0x01,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_f16_e64 v5, s101    ; encoding: [0x05,0x00,0x4b,0xd1,0x65,0x00,0x00,0x00]
+0x05,0x00,0x4b,0xd1,0x65,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_f16_e64 v5, flat_scratch_lo    ; encoding: [0x05,0x00,0x4b,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x4b,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_f16_e64 v5, flat_scratch_hi    ; encoding: [0x05,0x00,0x4b,0xd1,0x67,0x00,0x00,0x00]
+0x05,0x00,0x4b,0xd1,0x67,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_f16_e64 v5, vcc_lo    ; encoding: [0x05,0x00,0x4b,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x4b,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_f16_e64 v5, vcc_hi    ; encoding: [0x05,0x00,0x4b,0xd1,0x6b,0x00,0x00,0x00]
+0x05,0x00,0x4b,0xd1,0x6b,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_f16_e64 v5, m0    ; encoding: [0x05,0x00,0x4b,0xd1,0x7c,0x00,0x00,0x00]
+0x05,0x00,0x4b,0xd1,0x7c,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_f16_e64 v5, exec_lo    ; encoding: [0x05,0x00,0x4b,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x4b,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_f16_e64 v5, exec_hi    ; encoding: [0x05,0x00,0x4b,0xd1,0x7f,0x00,0x00,0x00]
+0x05,0x00,0x4b,0xd1,0x7f,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_f16_e64 v5, 0    ; encoding: [0x05,0x00,0x4b,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x4b,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_f16_e64 v5, -1    ; encoding: [0x05,0x00,0x4b,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x4b,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_f16_e64 v5, 0.5    ; encoding: [0x05,0x00,0x4b,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x4b,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_f16_e64 v5, -4.0    ; encoding: [0x05,0x00,0x4b,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x4b,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_f16_e64 v5, -v1    ; encoding: [0x05,0x00,0x4b,0xd1,0x01,0x01,0x00,0x20]
+0x05,0x00,0x4b,0xd1,0x01,0x01,0x00,0x20
+
+# CHECK: v_cvt_f32_f16_e64 v5, |v1|    ; encoding: [0x05,0x01,0x4b,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x01,0x4b,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_f16_e64 v5, v1 clamp    ; encoding: [0x05,0x80,0x4b,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x80,0x4b,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_f16_e64 v5, v1 mul:2    ; encoding: [0x05,0x00,0x4b,0xd1,0x01,0x01,0x00,0x08]
+0x05,0x00,0x4b,0xd1,0x01,0x01,0x00,0x08
+
+# CHECK: v_cvt_f32_f16_e64 v5, v1 mul:4    ; encoding: [0x05,0x00,0x4b,0xd1,0x01,0x01,0x00,0x10]
+0x05,0x00,0x4b,0xd1,0x01,0x01,0x00,0x10
+
+# CHECK: v_cvt_f32_f16_e64 v5, v1 div:2    ; encoding: [0x05,0x00,0x4b,0xd1,0x01,0x01,0x00,0x18]
+0x05,0x00,0x4b,0xd1,0x01,0x01,0x00,0x18
+
+# CHECK: v_cvt_rpi_i32_f32_e32 v5, v1    ; encoding: [0x01,0x19,0x0a,0x7e]
+0x01,0x19,0x0a,0x7e
+
+# CHECK: v_cvt_rpi_i32_f32_e32 v255, v1    ; encoding: [0x01,0x19,0xfe,0x7f]
+0x01,0x19,0xfe,0x7f
+
+# CHECK: v_cvt_rpi_i32_f32_e32 v5, v255    ; encoding: [0xff,0x19,0x0a,0x7e]
+0xff,0x19,0x0a,0x7e
+
+# CHECK: v_cvt_rpi_i32_f32_e32 v5, s1    ; encoding: [0x01,0x18,0x0a,0x7e]
+0x01,0x18,0x0a,0x7e
+
+# CHECK: v_cvt_rpi_i32_f32_e32 v5, s101    ; encoding: [0x65,0x18,0x0a,0x7e]
+0x65,0x18,0x0a,0x7e
+
+# CHECK: v_cvt_rpi_i32_f32_e32 v5, flat_scratch_lo    ; encoding: [0x66,0x18,0x0a,0x7e]
+0x66,0x18,0x0a,0x7e
+
+# CHECK: v_cvt_rpi_i32_f32_e32 v5, flat_scratch_hi    ; encoding: [0x67,0x18,0x0a,0x7e]
+0x67,0x18,0x0a,0x7e
+
+# CHECK: v_cvt_rpi_i32_f32_e32 v5, vcc_lo    ; encoding: [0x6a,0x18,0x0a,0x7e]
+0x6a,0x18,0x0a,0x7e
+
+# CHECK: v_cvt_rpi_i32_f32_e32 v5, vcc_hi    ; encoding: [0x6b,0x18,0x0a,0x7e]
+0x6b,0x18,0x0a,0x7e
+
+# CHECK: v_cvt_rpi_i32_f32_e32 v5, m0    ; encoding: [0x7c,0x18,0x0a,0x7e]
+0x7c,0x18,0x0a,0x7e
+
+# CHECK: v_cvt_rpi_i32_f32_e32 v5, exec_lo    ; encoding: [0x7e,0x18,0x0a,0x7e]
+0x7e,0x18,0x0a,0x7e
+
+# CHECK: v_cvt_rpi_i32_f32_e32 v5, exec_hi    ; encoding: [0x7f,0x18,0x0a,0x7e]
+0x7f,0x18,0x0a,0x7e
+
+# CHECK: v_cvt_rpi_i32_f32_e32 v5, 0    ; encoding: [0x80,0x18,0x0a,0x7e]
+0x80,0x18,0x0a,0x7e
+
+# CHECK: v_cvt_rpi_i32_f32_e32 v5, -1    ; encoding: [0xc1,0x18,0x0a,0x7e]
+0xc1,0x18,0x0a,0x7e
+
+# CHECK: v_cvt_rpi_i32_f32_e32 v5, 0.5    ; encoding: [0xf0,0x18,0x0a,0x7e]
+0xf0,0x18,0x0a,0x7e
+
+# CHECK: v_cvt_rpi_i32_f32_e32 v5, -4.0    ; encoding: [0xf7,0x18,0x0a,0x7e]
+0xf7,0x18,0x0a,0x7e
+
+# CHECK: v_cvt_rpi_i32_f32_e32 v5, 0xaf123456    ; encoding: [0xff,0x18,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x18,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_cvt_rpi_i32_f32_e32 v5, 0x3f717273    ; encoding: [0xff,0x18,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x18,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_cvt_rpi_i32_f32_e64 v5, v1    ; encoding: [0x05,0x00,0x4c,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x4c,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_rpi_i32_f32_e64 v255, v1    ; encoding: [0xff,0x00,0x4c,0xd1,0x01,0x01,0x00,0x00]
+0xff,0x00,0x4c,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_rpi_i32_f32_e64 v5, v255    ; encoding: [0x05,0x00,0x4c,0xd1,0xff,0x01,0x00,0x00]
+0x05,0x00,0x4c,0xd1,0xff,0x01,0x00,0x00
+
+# CHECK: v_cvt_rpi_i32_f32_e64 v5, s1    ; encoding: [0x05,0x00,0x4c,0xd1,0x01,0x00,0x00,0x00]
+0x05,0x00,0x4c,0xd1,0x01,0x00,0x00,0x00
+
+# CHECK: v_cvt_rpi_i32_f32_e64 v5, s101    ; encoding: [0x05,0x00,0x4c,0xd1,0x65,0x00,0x00,0x00]
+0x05,0x00,0x4c,0xd1,0x65,0x00,0x00,0x00
+
+# CHECK: v_cvt_rpi_i32_f32_e64 v5, flat_scratch_lo    ; encoding: [0x05,0x00,0x4c,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x4c,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_cvt_rpi_i32_f32_e64 v5, flat_scratch_hi    ; encoding: [0x05,0x00,0x4c,0xd1,0x67,0x00,0x00,0x00]
+0x05,0x00,0x4c,0xd1,0x67,0x00,0x00,0x00
+
+# CHECK: v_cvt_rpi_i32_f32_e64 v5, vcc_lo    ; encoding: [0x05,0x00,0x4c,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x4c,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_cvt_rpi_i32_f32_e64 v5, vcc_hi    ; encoding: [0x05,0x00,0x4c,0xd1,0x6b,0x00,0x00,0x00]
+0x05,0x00,0x4c,0xd1,0x6b,0x00,0x00,0x00
+
+# CHECK: v_cvt_rpi_i32_f32_e64 v5, m0    ; encoding: [0x05,0x00,0x4c,0xd1,0x7c,0x00,0x00,0x00]
+0x05,0x00,0x4c,0xd1,0x7c,0x00,0x00,0x00
+
+# CHECK: v_cvt_rpi_i32_f32_e64 v5, exec_lo    ; encoding: [0x05,0x00,0x4c,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x4c,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_cvt_rpi_i32_f32_e64 v5, exec_hi    ; encoding: [0x05,0x00,0x4c,0xd1,0x7f,0x00,0x00,0x00]
+0x05,0x00,0x4c,0xd1,0x7f,0x00,0x00,0x00
+
+# CHECK: v_cvt_rpi_i32_f32_e64 v5, 0    ; encoding: [0x05,0x00,0x4c,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x4c,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_cvt_rpi_i32_f32_e64 v5, -1    ; encoding: [0x05,0x00,0x4c,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x4c,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_cvt_rpi_i32_f32_e64 v5, 0.5    ; encoding: [0x05,0x00,0x4c,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x4c,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_cvt_rpi_i32_f32_e64 v5, -4.0    ; encoding: [0x05,0x00,0x4c,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x4c,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_cvt_rpi_i32_f32_e64 v5, -v1    ; encoding: [0x05,0x00,0x4c,0xd1,0x01,0x01,0x00,0x20]
+0x05,0x00,0x4c,0xd1,0x01,0x01,0x00,0x20
+
+# CHECK: v_cvt_rpi_i32_f32_e64 v5, |v1|    ; encoding: [0x05,0x01,0x4c,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x01,0x4c,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_flr_i32_f32_e32 v5, v1    ; encoding: [0x01,0x1b,0x0a,0x7e]
+0x01,0x1b,0x0a,0x7e
+
+# CHECK: v_cvt_flr_i32_f32_e32 v255, v1    ; encoding: [0x01,0x1b,0xfe,0x7f]
+0x01,0x1b,0xfe,0x7f
+
+# CHECK: v_cvt_flr_i32_f32_e32 v5, v255    ; encoding: [0xff,0x1b,0x0a,0x7e]
+0xff,0x1b,0x0a,0x7e
+
+# CHECK: v_cvt_flr_i32_f32_e32 v5, s1    ; encoding: [0x01,0x1a,0x0a,0x7e]
+0x01,0x1a,0x0a,0x7e
+
+# CHECK: v_cvt_flr_i32_f32_e32 v5, s101    ; encoding: [0x65,0x1a,0x0a,0x7e]
+0x65,0x1a,0x0a,0x7e
+
+# CHECK: v_cvt_flr_i32_f32_e32 v5, flat_scratch_lo    ; encoding: [0x66,0x1a,0x0a,0x7e]
+0x66,0x1a,0x0a,0x7e
+
+# CHECK: v_cvt_flr_i32_f32_e32 v5, flat_scratch_hi    ; encoding: [0x67,0x1a,0x0a,0x7e]
+0x67,0x1a,0x0a,0x7e
+
+# CHECK: v_cvt_flr_i32_f32_e32 v5, vcc_lo    ; encoding: [0x6a,0x1a,0x0a,0x7e]
+0x6a,0x1a,0x0a,0x7e
+
+# CHECK: v_cvt_flr_i32_f32_e32 v5, vcc_hi    ; encoding: [0x6b,0x1a,0x0a,0x7e]
+0x6b,0x1a,0x0a,0x7e
+
+# CHECK: v_cvt_flr_i32_f32_e32 v5, m0    ; encoding: [0x7c,0x1a,0x0a,0x7e]
+0x7c,0x1a,0x0a,0x7e
+
+# CHECK: v_cvt_flr_i32_f32_e32 v5, exec_lo    ; encoding: [0x7e,0x1a,0x0a,0x7e]
+0x7e,0x1a,0x0a,0x7e
+
+# CHECK: v_cvt_flr_i32_f32_e32 v5, exec_hi    ; encoding: [0x7f,0x1a,0x0a,0x7e]
+0x7f,0x1a,0x0a,0x7e
+
+# CHECK: v_cvt_flr_i32_f32_e32 v5, 0    ; encoding: [0x80,0x1a,0x0a,0x7e]
+0x80,0x1a,0x0a,0x7e
+
+# CHECK: v_cvt_flr_i32_f32_e32 v5, -1    ; encoding: [0xc1,0x1a,0x0a,0x7e]
+0xc1,0x1a,0x0a,0x7e
+
+# CHECK: v_cvt_flr_i32_f32_e32 v5, 0.5    ; encoding: [0xf0,0x1a,0x0a,0x7e]
+0xf0,0x1a,0x0a,0x7e
+
+# CHECK: v_cvt_flr_i32_f32_e32 v5, -4.0    ; encoding: [0xf7,0x1a,0x0a,0x7e]
+0xf7,0x1a,0x0a,0x7e
+
+# CHECK: v_cvt_flr_i32_f32_e32 v5, 0xaf123456    ; encoding: [0xff,0x1a,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x1a,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_cvt_flr_i32_f32_e32 v5, 0x3f717273    ; encoding: [0xff,0x1a,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x1a,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_cvt_flr_i32_f32_e64 v5, v1    ; encoding: [0x05,0x00,0x4d,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x4d,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_flr_i32_f32_e64 v255, v1    ; encoding: [0xff,0x00,0x4d,0xd1,0x01,0x01,0x00,0x00]
+0xff,0x00,0x4d,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_flr_i32_f32_e64 v5, v255    ; encoding: [0x05,0x00,0x4d,0xd1,0xff,0x01,0x00,0x00]
+0x05,0x00,0x4d,0xd1,0xff,0x01,0x00,0x00
+
+# CHECK: v_cvt_flr_i32_f32_e64 v5, s1    ; encoding: [0x05,0x00,0x4d,0xd1,0x01,0x00,0x00,0x00]
+0x05,0x00,0x4d,0xd1,0x01,0x00,0x00,0x00
+
+# CHECK: v_cvt_flr_i32_f32_e64 v5, s101    ; encoding: [0x05,0x00,0x4d,0xd1,0x65,0x00,0x00,0x00]
+0x05,0x00,0x4d,0xd1,0x65,0x00,0x00,0x00
+
+# CHECK: v_cvt_flr_i32_f32_e64 v5, flat_scratch_lo    ; encoding: [0x05,0x00,0x4d,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x4d,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_cvt_flr_i32_f32_e64 v5, flat_scratch_hi    ; encoding: [0x05,0x00,0x4d,0xd1,0x67,0x00,0x00,0x00]
+0x05,0x00,0x4d,0xd1,0x67,0x00,0x00,0x00
+
+# CHECK: v_cvt_flr_i32_f32_e64 v5, vcc_lo    ; encoding: [0x05,0x00,0x4d,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x4d,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_cvt_flr_i32_f32_e64 v5, vcc_hi    ; encoding: [0x05,0x00,0x4d,0xd1,0x6b,0x00,0x00,0x00]
+0x05,0x00,0x4d,0xd1,0x6b,0x00,0x00,0x00
+
+# CHECK: v_cvt_flr_i32_f32_e64 v5, m0    ; encoding: [0x05,0x00,0x4d,0xd1,0x7c,0x00,0x00,0x00]
+0x05,0x00,0x4d,0xd1,0x7c,0x00,0x00,0x00
+
+# CHECK: v_cvt_flr_i32_f32_e64 v5, exec_lo    ; encoding: [0x05,0x00,0x4d,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x4d,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_cvt_flr_i32_f32_e64 v5, exec_hi    ; encoding: [0x05,0x00,0x4d,0xd1,0x7f,0x00,0x00,0x00]
+0x05,0x00,0x4d,0xd1,0x7f,0x00,0x00,0x00
+
+# CHECK: v_cvt_flr_i32_f32_e64 v5, 0    ; encoding: [0x05,0x00,0x4d,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x4d,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_cvt_flr_i32_f32_e64 v5, -1    ; encoding: [0x05,0x00,0x4d,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x4d,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_cvt_flr_i32_f32_e64 v5, 0.5    ; encoding: [0x05,0x00,0x4d,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x4d,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_cvt_flr_i32_f32_e64 v5, -4.0    ; encoding: [0x05,0x00,0x4d,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x4d,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_cvt_flr_i32_f32_e64 v5, -v1    ; encoding: [0x05,0x00,0x4d,0xd1,0x01,0x01,0x00,0x20]
+0x05,0x00,0x4d,0xd1,0x01,0x01,0x00,0x20
+
+# CHECK: v_cvt_flr_i32_f32_e64 v5, |v1|    ; encoding: [0x05,0x01,0x4d,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x01,0x4d,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_off_f32_i4_e32 v5, v1    ; encoding: [0x01,0x1d,0x0a,0x7e]
+0x01,0x1d,0x0a,0x7e
+
+# CHECK: v_cvt_off_f32_i4_e32 v255, v1    ; encoding: [0x01,0x1d,0xfe,0x7f]
+0x01,0x1d,0xfe,0x7f
+
+# CHECK: v_cvt_off_f32_i4_e32 v5, v255    ; encoding: [0xff,0x1d,0x0a,0x7e]
+0xff,0x1d,0x0a,0x7e
+
+# CHECK: v_cvt_off_f32_i4_e32 v5, s1    ; encoding: [0x01,0x1c,0x0a,0x7e]
+0x01,0x1c,0x0a,0x7e
+
+# CHECK: v_cvt_off_f32_i4_e32 v5, s101    ; encoding: [0x65,0x1c,0x0a,0x7e]
+0x65,0x1c,0x0a,0x7e
+
+# CHECK: v_cvt_off_f32_i4_e32 v5, flat_scratch_lo    ; encoding: [0x66,0x1c,0x0a,0x7e]
+0x66,0x1c,0x0a,0x7e
+
+# CHECK: v_cvt_off_f32_i4_e32 v5, flat_scratch_hi    ; encoding: [0x67,0x1c,0x0a,0x7e]
+0x67,0x1c,0x0a,0x7e
+
+# CHECK: v_cvt_off_f32_i4_e32 v5, vcc_lo    ; encoding: [0x6a,0x1c,0x0a,0x7e]
+0x6a,0x1c,0x0a,0x7e
+
+# CHECK: v_cvt_off_f32_i4_e32 v5, vcc_hi    ; encoding: [0x6b,0x1c,0x0a,0x7e]
+0x6b,0x1c,0x0a,0x7e
+
+# CHECK: v_cvt_off_f32_i4_e32 v5, m0    ; encoding: [0x7c,0x1c,0x0a,0x7e]
+0x7c,0x1c,0x0a,0x7e
+
+# CHECK: v_cvt_off_f32_i4_e32 v5, exec_lo    ; encoding: [0x7e,0x1c,0x0a,0x7e]
+0x7e,0x1c,0x0a,0x7e
+
+# CHECK: v_cvt_off_f32_i4_e32 v5, exec_hi    ; encoding: [0x7f,0x1c,0x0a,0x7e]
+0x7f,0x1c,0x0a,0x7e
+
+# CHECK: v_cvt_off_f32_i4_e32 v5, 0    ; encoding: [0x80,0x1c,0x0a,0x7e]
+0x80,0x1c,0x0a,0x7e
+
+# CHECK: v_cvt_off_f32_i4_e32 v5, -1    ; encoding: [0xc1,0x1c,0x0a,0x7e]
+0xc1,0x1c,0x0a,0x7e
+
+# CHECK: v_cvt_off_f32_i4_e32 v5, 0.5    ; encoding: [0xf0,0x1c,0x0a,0x7e]
+0xf0,0x1c,0x0a,0x7e
+
+# CHECK: v_cvt_off_f32_i4_e32 v5, -4.0    ; encoding: [0xf7,0x1c,0x0a,0x7e]
+0xf7,0x1c,0x0a,0x7e
+
+# CHECK: v_cvt_off_f32_i4_e32 v5, 0x4f    ; encoding: [0xff,0x1c,0x0a,0x7e,0x4f,0x00,0x00,0x00]
+0xff,0x1c,0x0a,0x7e,0x4f,0x00,0x00,0x00
+
+# CHECK: v_cvt_off_f32_i4_e32 v5, 0x41    ; encoding: [0xff,0x1c,0x0a,0x7e,0x41,0x00,0x00,0x00]
+0xff,0x1c,0x0a,0x7e,0x41,0x00,0x00,0x00
+
+# CHECK: v_cvt_off_f32_i4_e64 v5, v1    ; encoding: [0x05,0x00,0x4e,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x4e,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_off_f32_i4_e64 v255, v1    ; encoding: [0xff,0x00,0x4e,0xd1,0x01,0x01,0x00,0x00]
+0xff,0x00,0x4e,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_off_f32_i4_e64 v5, v255    ; encoding: [0x05,0x00,0x4e,0xd1,0xff,0x01,0x00,0x00]
+0x05,0x00,0x4e,0xd1,0xff,0x01,0x00,0x00
+
+# CHECK: v_cvt_off_f32_i4_e64 v5, s1    ; encoding: [0x05,0x00,0x4e,0xd1,0x01,0x00,0x00,0x00]
+0x05,0x00,0x4e,0xd1,0x01,0x00,0x00,0x00
+
+# CHECK: v_cvt_off_f32_i4_e64 v5, s101    ; encoding: [0x05,0x00,0x4e,0xd1,0x65,0x00,0x00,0x00]
+0x05,0x00,0x4e,0xd1,0x65,0x00,0x00,0x00
+
+# CHECK: v_cvt_off_f32_i4_e64 v5, flat_scratch_lo    ; encoding: [0x05,0x00,0x4e,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x4e,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_cvt_off_f32_i4_e64 v5, flat_scratch_hi    ; encoding: [0x05,0x00,0x4e,0xd1,0x67,0x00,0x00,0x00]
+0x05,0x00,0x4e,0xd1,0x67,0x00,0x00,0x00
+
+# CHECK: v_cvt_off_f32_i4_e64 v5, vcc_lo    ; encoding: [0x05,0x00,0x4e,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x4e,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_cvt_off_f32_i4_e64 v5, vcc_hi    ; encoding: [0x05,0x00,0x4e,0xd1,0x6b,0x00,0x00,0x00]
+0x05,0x00,0x4e,0xd1,0x6b,0x00,0x00,0x00
+
+# CHECK: v_cvt_off_f32_i4_e64 v5, m0    ; encoding: [0x05,0x00,0x4e,0xd1,0x7c,0x00,0x00,0x00]
+0x05,0x00,0x4e,0xd1,0x7c,0x00,0x00,0x00
+
+# CHECK: v_cvt_off_f32_i4_e64 v5, exec_lo    ; encoding: [0x05,0x00,0x4e,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x4e,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_cvt_off_f32_i4_e64 v5, exec_hi    ; encoding: [0x05,0x00,0x4e,0xd1,0x7f,0x00,0x00,0x00]
+0x05,0x00,0x4e,0xd1,0x7f,0x00,0x00,0x00
+
+# CHECK: v_cvt_off_f32_i4_e64 v5, 0    ; encoding: [0x05,0x00,0x4e,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x4e,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_cvt_off_f32_i4_e64 v5, -1    ; encoding: [0x05,0x00,0x4e,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x4e,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_cvt_off_f32_i4_e64 v5, 0.5    ; encoding: [0x05,0x00,0x4e,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x4e,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_cvt_off_f32_i4_e64 v5, -4.0    ; encoding: [0x05,0x00,0x4e,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x4e,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_cvt_off_f32_i4_e64 v5, v1 clamp    ; encoding: [0x05,0x80,0x4e,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x80,0x4e,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_off_f32_i4_e64 v5, v1 mul:2    ; encoding: [0x05,0x00,0x4e,0xd1,0x01,0x01,0x00,0x08]
+0x05,0x00,0x4e,0xd1,0x01,0x01,0x00,0x08
+
+# CHECK: v_cvt_off_f32_i4_e64 v5, v1 mul:4    ; encoding: [0x05,0x00,0x4e,0xd1,0x01,0x01,0x00,0x10]
+0x05,0x00,0x4e,0xd1,0x01,0x01,0x00,0x10
+
+# CHECK: v_cvt_off_f32_i4_e64 v5, v1 div:2    ; encoding: [0x05,0x00,0x4e,0xd1,0x01,0x01,0x00,0x18]
+0x05,0x00,0x4e,0xd1,0x01,0x01,0x00,0x18
+
+# CHECK: v_cvt_f32_f64_e32 v5, v[1:2]    ; encoding: [0x01,0x1f,0x0a,0x7e]
+0x01,0x1f,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f64_e32 v255, v[1:2]    ; encoding: [0x01,0x1f,0xfe,0x7f]
+0x01,0x1f,0xfe,0x7f
+
+# CHECK: v_cvt_f32_f64_e32 v5, v[254:255]    ; encoding: [0xfe,0x1f,0x0a,0x7e]
+0xfe,0x1f,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f64_e32 v5, s[2:3]    ; encoding: [0x02,0x1e,0x0a,0x7e]
+0x02,0x1e,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f64_e32 v5, s[4:5]    ; encoding: [0x04,0x1e,0x0a,0x7e]
+0x04,0x1e,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f64_e32 v5, s[100:101]    ; encoding: [0x64,0x1e,0x0a,0x7e]
+0x64,0x1e,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f64_e32 v5, flat_scratch    ; encoding: [0x66,0x1e,0x0a,0x7e]
+0x66,0x1e,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f64_e32 v5, vcc    ; encoding: [0x6a,0x1e,0x0a,0x7e]
+0x6a,0x1e,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f64_e32 v5, exec    ; encoding: [0x7e,0x1e,0x0a,0x7e]
+0x7e,0x1e,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f64_e32 v5, 0    ; encoding: [0x80,0x1e,0x0a,0x7e]
+0x80,0x1e,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f64_e32 v5, -1    ; encoding: [0xc1,0x1e,0x0a,0x7e]
+0xc1,0x1e,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f64_e32 v5, 0.5    ; encoding: [0xf0,0x1e,0x0a,0x7e]
+0xf0,0x1e,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f64_e32 v5, -4.0    ; encoding: [0xf7,0x1e,0x0a,0x7e]
+0xf7,0x1e,0x0a,0x7e
+
+# CHECK: v_cvt_f32_f64_e32 v5, 0xaf123456    ; encoding: [0xff,0x1e,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x1e,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_cvt_f32_f64_e32 v5, 0x3f717273    ; encoding: [0xff,0x1e,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x1e,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_cvt_f32_f64_e64 v5, v[1:2]    ; encoding: [0x05,0x00,0x4f,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x4f,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_f64_e64 v255, v[1:2]    ; encoding: [0xff,0x00,0x4f,0xd1,0x01,0x01,0x00,0x00]
+0xff,0x00,0x4f,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_f64_e64 v5, v[254:255]    ; encoding: [0x05,0x00,0x4f,0xd1,0xfe,0x01,0x00,0x00]
+0x05,0x00,0x4f,0xd1,0xfe,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_f64_e64 v5, s[2:3]    ; encoding: [0x05,0x00,0x4f,0xd1,0x02,0x00,0x00,0x00]
+0x05,0x00,0x4f,0xd1,0x02,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_f64_e64 v5, s[4:5]    ; encoding: [0x05,0x00,0x4f,0xd1,0x04,0x00,0x00,0x00]
+0x05,0x00,0x4f,0xd1,0x04,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_f64_e64 v5, s[100:101]    ; encoding: [0x05,0x00,0x4f,0xd1,0x64,0x00,0x00,0x00]
+0x05,0x00,0x4f,0xd1,0x64,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_f64_e64 v5, flat_scratch    ; encoding: [0x05,0x00,0x4f,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x4f,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_f64_e64 v5, vcc    ; encoding: [0x05,0x00,0x4f,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x4f,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_f64_e64 v5, exec    ; encoding: [0x05,0x00,0x4f,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x4f,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_f64_e64 v5, 0    ; encoding: [0x05,0x00,0x4f,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x4f,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_f64_e64 v5, -1    ; encoding: [0x05,0x00,0x4f,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x4f,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_f64_e64 v5, 0.5    ; encoding: [0x05,0x00,0x4f,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x4f,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_f64_e64 v5, -4.0    ; encoding: [0x05,0x00,0x4f,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x4f,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_f64_e64 v5, -v[1:2]    ; encoding: [0x05,0x00,0x4f,0xd1,0x01,0x01,0x00,0x20]
+0x05,0x00,0x4f,0xd1,0x01,0x01,0x00,0x20
+
+# CHECK: v_cvt_f32_f64_e64 v5, |v[1:2]|    ; encoding: [0x05,0x01,0x4f,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x01,0x4f,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_f64_e64 v5, v[1:2] clamp    ; encoding: [0x05,0x80,0x4f,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x80,0x4f,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_f64_e64 v5, v[1:2] mul:2    ; encoding: [0x05,0x00,0x4f,0xd1,0x01,0x01,0x00,0x08]
+0x05,0x00,0x4f,0xd1,0x01,0x01,0x00,0x08
+
+# CHECK: v_cvt_f32_f64_e64 v5, v[1:2] mul:4    ; encoding: [0x05,0x00,0x4f,0xd1,0x01,0x01,0x00,0x10]
+0x05,0x00,0x4f,0xd1,0x01,0x01,0x00,0x10
+
+# CHECK: v_cvt_f32_f64_e64 v5, v[1:2] div:2    ; encoding: [0x05,0x00,0x4f,0xd1,0x01,0x01,0x00,0x18]
+0x05,0x00,0x4f,0xd1,0x01,0x01,0x00,0x18
+
+# CHECK: v_cvt_f64_f32_e32 v[5:6], v1    ; encoding: [0x01,0x21,0x0a,0x7e]
+0x01,0x21,0x0a,0x7e
+
+# CHECK: v_cvt_f64_f32_e32 v[254:255], v1    ; encoding: [0x01,0x21,0xfc,0x7f]
+0x01,0x21,0xfc,0x7f
+
+# CHECK: v_cvt_f64_f32_e32 v[5:6], v255    ; encoding: [0xff,0x21,0x0a,0x7e]
+0xff,0x21,0x0a,0x7e
+
+# CHECK: v_cvt_f64_f32_e32 v[5:6], s1    ; encoding: [0x01,0x20,0x0a,0x7e]
+0x01,0x20,0x0a,0x7e
+
+# CHECK: v_cvt_f64_f32_e32 v[5:6], s101    ; encoding: [0x65,0x20,0x0a,0x7e]
+0x65,0x20,0x0a,0x7e
+
+# CHECK: v_cvt_f64_f32_e32 v[5:6], flat_scratch_lo    ; encoding: [0x66,0x20,0x0a,0x7e]
+0x66,0x20,0x0a,0x7e
+
+# CHECK: v_cvt_f64_f32_e32 v[5:6], flat_scratch_hi    ; encoding: [0x67,0x20,0x0a,0x7e]
+0x67,0x20,0x0a,0x7e
+
+# CHECK: v_cvt_f64_f32_e32 v[5:6], vcc_lo    ; encoding: [0x6a,0x20,0x0a,0x7e]
+0x6a,0x20,0x0a,0x7e
+
+# CHECK: v_cvt_f64_f32_e32 v[5:6], vcc_hi    ; encoding: [0x6b,0x20,0x0a,0x7e]
+0x6b,0x20,0x0a,0x7e
+
+# CHECK: v_cvt_f64_f32_e32 v[5:6], m0    ; encoding: [0x7c,0x20,0x0a,0x7e]
+0x7c,0x20,0x0a,0x7e
+
+# CHECK: v_cvt_f64_f32_e32 v[5:6], exec_lo    ; encoding: [0x7e,0x20,0x0a,0x7e]
+0x7e,0x20,0x0a,0x7e
+
+# CHECK: v_cvt_f64_f32_e32 v[5:6], exec_hi    ; encoding: [0x7f,0x20,0x0a,0x7e]
+0x7f,0x20,0x0a,0x7e
+
+# CHECK: v_cvt_f64_f32_e32 v[5:6], 0    ; encoding: [0x80,0x20,0x0a,0x7e]
+0x80,0x20,0x0a,0x7e
+
+# CHECK: v_cvt_f64_f32_e32 v[5:6], -1    ; encoding: [0xc1,0x20,0x0a,0x7e]
+0xc1,0x20,0x0a,0x7e
+
+# CHECK: v_cvt_f64_f32_e32 v[5:6], 0.5    ; encoding: [0xf0,0x20,0x0a,0x7e]
+0xf0,0x20,0x0a,0x7e
+
+# CHECK: v_cvt_f64_f32_e32 v[5:6], -4.0    ; encoding: [0xf7,0x20,0x0a,0x7e]
+0xf7,0x20,0x0a,0x7e
+
+# CHECK: v_cvt_f64_f32_e32 v[5:6], 0xaf123456    ; encoding: [0xff,0x20,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x20,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_cvt_f64_f32_e32 v[5:6], 0x3f717273    ; encoding: [0xff,0x20,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x20,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_cvt_f64_f32_e64 v[5:6], v1    ; encoding: [0x05,0x00,0x50,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x50,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f64_f32_e64 v[254:255], v1    ; encoding: [0xfe,0x00,0x50,0xd1,0x01,0x01,0x00,0x00]
+0xfe,0x00,0x50,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f64_f32_e64 v[5:6], v255    ; encoding: [0x05,0x00,0x50,0xd1,0xff,0x01,0x00,0x00]
+0x05,0x00,0x50,0xd1,0xff,0x01,0x00,0x00
+
+# CHECK: v_cvt_f64_f32_e64 v[5:6], s1    ; encoding: [0x05,0x00,0x50,0xd1,0x01,0x00,0x00,0x00]
+0x05,0x00,0x50,0xd1,0x01,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_f32_e64 v[5:6], s101    ; encoding: [0x05,0x00,0x50,0xd1,0x65,0x00,0x00,0x00]
+0x05,0x00,0x50,0xd1,0x65,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_f32_e64 v[5:6], flat_scratch_lo    ; encoding: [0x05,0x00,0x50,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x50,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_f32_e64 v[5:6], flat_scratch_hi    ; encoding: [0x05,0x00,0x50,0xd1,0x67,0x00,0x00,0x00]
+0x05,0x00,0x50,0xd1,0x67,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_f32_e64 v[5:6], vcc_lo    ; encoding: [0x05,0x00,0x50,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x50,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_f32_e64 v[5:6], vcc_hi    ; encoding: [0x05,0x00,0x50,0xd1,0x6b,0x00,0x00,0x00]
+0x05,0x00,0x50,0xd1,0x6b,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_f32_e64 v[5:6], m0    ; encoding: [0x05,0x00,0x50,0xd1,0x7c,0x00,0x00,0x00]
+0x05,0x00,0x50,0xd1,0x7c,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_f32_e64 v[5:6], exec_lo    ; encoding: [0x05,0x00,0x50,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x50,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_f32_e64 v[5:6], exec_hi    ; encoding: [0x05,0x00,0x50,0xd1,0x7f,0x00,0x00,0x00]
+0x05,0x00,0x50,0xd1,0x7f,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_f32_e64 v[5:6], 0    ; encoding: [0x05,0x00,0x50,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x50,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_f32_e64 v[5:6], -1    ; encoding: [0x05,0x00,0x50,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x50,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_f32_e64 v[5:6], 0.5    ; encoding: [0x05,0x00,0x50,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x50,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_f32_e64 v[5:6], -4.0    ; encoding: [0x05,0x00,0x50,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x50,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_f32_e64 v[5:6], -v1    ; encoding: [0x05,0x00,0x50,0xd1,0x01,0x01,0x00,0x20]
+0x05,0x00,0x50,0xd1,0x01,0x01,0x00,0x20
+
+# CHECK: v_cvt_f64_f32_e64 v[5:6], |v1|    ; encoding: [0x05,0x01,0x50,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x01,0x50,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f64_f32_e64 v[5:6], v1 clamp    ; encoding: [0x05,0x80,0x50,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x80,0x50,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f64_f32_e64 v[5:6], v1 mul:2    ; encoding: [0x05,0x00,0x50,0xd1,0x01,0x01,0x00,0x08]
+0x05,0x00,0x50,0xd1,0x01,0x01,0x00,0x08
+
+# CHECK: v_cvt_f64_f32_e64 v[5:6], v1 mul:4    ; encoding: [0x05,0x00,0x50,0xd1,0x01,0x01,0x00,0x10]
+0x05,0x00,0x50,0xd1,0x01,0x01,0x00,0x10
+
+# CHECK: v_cvt_f64_f32_e64 v[5:6], v1 div:2    ; encoding: [0x05,0x00,0x50,0xd1,0x01,0x01,0x00,0x18]
+0x05,0x00,0x50,0xd1,0x01,0x01,0x00,0x18
+
+# CHECK: v_cvt_f32_ubyte0_e32 v5, v1    ; encoding: [0x01,0x23,0x0a,0x7e]
+0x01,0x23,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte0_e32 v255, v1    ; encoding: [0x01,0x23,0xfe,0x7f]
+0x01,0x23,0xfe,0x7f
+
+# CHECK: v_cvt_f32_ubyte0_e32 v5, v255    ; encoding: [0xff,0x23,0x0a,0x7e]
+0xff,0x23,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte0_e32 v5, s1    ; encoding: [0x01,0x22,0x0a,0x7e]
+0x01,0x22,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte0_e32 v5, s101    ; encoding: [0x65,0x22,0x0a,0x7e]
+0x65,0x22,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte0_e32 v5, flat_scratch_lo    ; encoding: [0x66,0x22,0x0a,0x7e]
+0x66,0x22,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte0_e32 v5, flat_scratch_hi    ; encoding: [0x67,0x22,0x0a,0x7e]
+0x67,0x22,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte0_e32 v5, vcc_lo    ; encoding: [0x6a,0x22,0x0a,0x7e]
+0x6a,0x22,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte0_e32 v5, vcc_hi    ; encoding: [0x6b,0x22,0x0a,0x7e]
+0x6b,0x22,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte0_e32 v5, m0    ; encoding: [0x7c,0x22,0x0a,0x7e]
+0x7c,0x22,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte0_e32 v5, exec_lo    ; encoding: [0x7e,0x22,0x0a,0x7e]
+0x7e,0x22,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte0_e32 v5, exec_hi    ; encoding: [0x7f,0x22,0x0a,0x7e]
+0x7f,0x22,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte0_e32 v5, 0    ; encoding: [0x80,0x22,0x0a,0x7e]
+0x80,0x22,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte0_e32 v5, -1    ; encoding: [0xc1,0x22,0x0a,0x7e]
+0xc1,0x22,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte0_e32 v5, 0.5    ; encoding: [0xf0,0x22,0x0a,0x7e]
+0xf0,0x22,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte0_e32 v5, -4.0    ; encoding: [0xf7,0x22,0x0a,0x7e]
+0xf7,0x22,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte0_e32 v5, 0xaf123456    ; encoding: [0xff,0x22,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x22,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_cvt_f32_ubyte0_e32 v5, 0x3f717273    ; encoding: [0xff,0x22,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x22,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_cvt_f32_ubyte0_e64 v5, v1    ; encoding: [0x05,0x00,0x51,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x51,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte0_e64 v255, v1    ; encoding: [0xff,0x00,0x51,0xd1,0x01,0x01,0x00,0x00]
+0xff,0x00,0x51,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte0_e64 v5, v255    ; encoding: [0x05,0x00,0x51,0xd1,0xff,0x01,0x00,0x00]
+0x05,0x00,0x51,0xd1,0xff,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte0_e64 v5, s1    ; encoding: [0x05,0x00,0x51,0xd1,0x01,0x00,0x00,0x00]
+0x05,0x00,0x51,0xd1,0x01,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte0_e64 v5, s101    ; encoding: [0x05,0x00,0x51,0xd1,0x65,0x00,0x00,0x00]
+0x05,0x00,0x51,0xd1,0x65,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte0_e64 v5, flat_scratch_lo    ; encoding: [0x05,0x00,0x51,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x51,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte0_e64 v5, flat_scratch_hi    ; encoding: [0x05,0x00,0x51,0xd1,0x67,0x00,0x00,0x00]
+0x05,0x00,0x51,0xd1,0x67,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte0_e64 v5, vcc_lo    ; encoding: [0x05,0x00,0x51,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x51,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte0_e64 v5, vcc_hi    ; encoding: [0x05,0x00,0x51,0xd1,0x6b,0x00,0x00,0x00]
+0x05,0x00,0x51,0xd1,0x6b,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte0_e64 v5, m0    ; encoding: [0x05,0x00,0x51,0xd1,0x7c,0x00,0x00,0x00]
+0x05,0x00,0x51,0xd1,0x7c,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte0_e64 v5, exec_lo    ; encoding: [0x05,0x00,0x51,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x51,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte0_e64 v5, exec_hi    ; encoding: [0x05,0x00,0x51,0xd1,0x7f,0x00,0x00,0x00]
+0x05,0x00,0x51,0xd1,0x7f,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte0_e64 v5, 0    ; encoding: [0x05,0x00,0x51,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x51,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte0_e64 v5, -1    ; encoding: [0x05,0x00,0x51,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x51,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte0_e64 v5, 0.5    ; encoding: [0x05,0x00,0x51,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x51,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte0_e64 v5, -4.0    ; encoding: [0x05,0x00,0x51,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x51,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte0_e64 v5, v1 clamp    ; encoding: [0x05,0x80,0x51,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x80,0x51,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte0_e64 v5, v1 mul:2    ; encoding: [0x05,0x00,0x51,0xd1,0x01,0x01,0x00,0x08]
+0x05,0x00,0x51,0xd1,0x01,0x01,0x00,0x08
+
+# CHECK: v_cvt_f32_ubyte0_e64 v5, v1 mul:4    ; encoding: [0x05,0x00,0x51,0xd1,0x01,0x01,0x00,0x10]
+0x05,0x00,0x51,0xd1,0x01,0x01,0x00,0x10
+
+# CHECK: v_cvt_f32_ubyte0_e64 v5, v1 div:2    ; encoding: [0x05,0x00,0x51,0xd1,0x01,0x01,0x00,0x18]
+0x05,0x00,0x51,0xd1,0x01,0x01,0x00,0x18
+
+# CHECK: v_cvt_f32_ubyte1_e32 v5, v1    ; encoding: [0x01,0x25,0x0a,0x7e]
+0x01,0x25,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte1_e32 v255, v1    ; encoding: [0x01,0x25,0xfe,0x7f]
+0x01,0x25,0xfe,0x7f
+
+# CHECK: v_cvt_f32_ubyte1_e32 v5, v255    ; encoding: [0xff,0x25,0x0a,0x7e]
+0xff,0x25,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte1_e32 v5, s1    ; encoding: [0x01,0x24,0x0a,0x7e]
+0x01,0x24,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte1_e32 v5, s101    ; encoding: [0x65,0x24,0x0a,0x7e]
+0x65,0x24,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte1_e32 v5, flat_scratch_lo    ; encoding: [0x66,0x24,0x0a,0x7e]
+0x66,0x24,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte1_e32 v5, flat_scratch_hi    ; encoding: [0x67,0x24,0x0a,0x7e]
+0x67,0x24,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte1_e32 v5, vcc_lo    ; encoding: [0x6a,0x24,0x0a,0x7e]
+0x6a,0x24,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte1_e32 v5, vcc_hi    ; encoding: [0x6b,0x24,0x0a,0x7e]
+0x6b,0x24,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte1_e32 v5, m0    ; encoding: [0x7c,0x24,0x0a,0x7e]
+0x7c,0x24,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte1_e32 v5, exec_lo    ; encoding: [0x7e,0x24,0x0a,0x7e]
+0x7e,0x24,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte1_e32 v5, exec_hi    ; encoding: [0x7f,0x24,0x0a,0x7e]
+0x7f,0x24,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte1_e32 v5, 0    ; encoding: [0x80,0x24,0x0a,0x7e]
+0x80,0x24,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte1_e32 v5, -1    ; encoding: [0xc1,0x24,0x0a,0x7e]
+0xc1,0x24,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte1_e32 v5, 0.5    ; encoding: [0xf0,0x24,0x0a,0x7e]
+0xf0,0x24,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte1_e32 v5, -4.0    ; encoding: [0xf7,0x24,0x0a,0x7e]
+0xf7,0x24,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte1_e32 v5, 0xaf123456    ; encoding: [0xff,0x24,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x24,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_cvt_f32_ubyte1_e32 v5, 0x3f717273    ; encoding: [0xff,0x24,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x24,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_cvt_f32_ubyte1_e64 v5, v1    ; encoding: [0x05,0x00,0x52,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x52,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte1_e64 v255, v1    ; encoding: [0xff,0x00,0x52,0xd1,0x01,0x01,0x00,0x00]
+0xff,0x00,0x52,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte1_e64 v5, v255    ; encoding: [0x05,0x00,0x52,0xd1,0xff,0x01,0x00,0x00]
+0x05,0x00,0x52,0xd1,0xff,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte1_e64 v5, s1    ; encoding: [0x05,0x00,0x52,0xd1,0x01,0x00,0x00,0x00]
+0x05,0x00,0x52,0xd1,0x01,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte1_e64 v5, s101    ; encoding: [0x05,0x00,0x52,0xd1,0x65,0x00,0x00,0x00]
+0x05,0x00,0x52,0xd1,0x65,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte1_e64 v5, flat_scratch_lo    ; encoding: [0x05,0x00,0x52,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x52,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte1_e64 v5, flat_scratch_hi    ; encoding: [0x05,0x00,0x52,0xd1,0x67,0x00,0x00,0x00]
+0x05,0x00,0x52,0xd1,0x67,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte1_e64 v5, vcc_lo    ; encoding: [0x05,0x00,0x52,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x52,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte1_e64 v5, vcc_hi    ; encoding: [0x05,0x00,0x52,0xd1,0x6b,0x00,0x00,0x00]
+0x05,0x00,0x52,0xd1,0x6b,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte1_e64 v5, m0    ; encoding: [0x05,0x00,0x52,0xd1,0x7c,0x00,0x00,0x00]
+0x05,0x00,0x52,0xd1,0x7c,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte1_e64 v5, exec_lo    ; encoding: [0x05,0x00,0x52,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x52,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte1_e64 v5, exec_hi    ; encoding: [0x05,0x00,0x52,0xd1,0x7f,0x00,0x00,0x00]
+0x05,0x00,0x52,0xd1,0x7f,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte1_e64 v5, 0    ; encoding: [0x05,0x00,0x52,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x52,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte1_e64 v5, -1    ; encoding: [0x05,0x00,0x52,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x52,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte1_e64 v5, 0.5    ; encoding: [0x05,0x00,0x52,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x52,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte1_e64 v5, -4.0    ; encoding: [0x05,0x00,0x52,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x52,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte1_e64 v5, v1 clamp    ; encoding: [0x05,0x80,0x52,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x80,0x52,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte1_e64 v5, v1 mul:2    ; encoding: [0x05,0x00,0x52,0xd1,0x01,0x01,0x00,0x08]
+0x05,0x00,0x52,0xd1,0x01,0x01,0x00,0x08
+
+# CHECK: v_cvt_f32_ubyte1_e64 v5, v1 mul:4    ; encoding: [0x05,0x00,0x52,0xd1,0x01,0x01,0x00,0x10]
+0x05,0x00,0x52,0xd1,0x01,0x01,0x00,0x10
+
+# CHECK: v_cvt_f32_ubyte1_e64 v5, v1 div:2    ; encoding: [0x05,0x00,0x52,0xd1,0x01,0x01,0x00,0x18]
+0x05,0x00,0x52,0xd1,0x01,0x01,0x00,0x18
+
+# CHECK: v_cvt_f32_ubyte2_e32 v5, v1    ; encoding: [0x01,0x27,0x0a,0x7e]
+0x01,0x27,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte2_e32 v255, v1    ; encoding: [0x01,0x27,0xfe,0x7f]
+0x01,0x27,0xfe,0x7f
+
+# CHECK: v_cvt_f32_ubyte2_e32 v5, v255    ; encoding: [0xff,0x27,0x0a,0x7e]
+0xff,0x27,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte2_e32 v5, s1    ; encoding: [0x01,0x26,0x0a,0x7e]
+0x01,0x26,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte2_e32 v5, s101    ; encoding: [0x65,0x26,0x0a,0x7e]
+0x65,0x26,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte2_e32 v5, flat_scratch_lo    ; encoding: [0x66,0x26,0x0a,0x7e]
+0x66,0x26,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte2_e32 v5, flat_scratch_hi    ; encoding: [0x67,0x26,0x0a,0x7e]
+0x67,0x26,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte2_e32 v5, vcc_lo    ; encoding: [0x6a,0x26,0x0a,0x7e]
+0x6a,0x26,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte2_e32 v5, vcc_hi    ; encoding: [0x6b,0x26,0x0a,0x7e]
+0x6b,0x26,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte2_e32 v5, m0    ; encoding: [0x7c,0x26,0x0a,0x7e]
+0x7c,0x26,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte2_e32 v5, exec_lo    ; encoding: [0x7e,0x26,0x0a,0x7e]
+0x7e,0x26,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte2_e32 v5, exec_hi    ; encoding: [0x7f,0x26,0x0a,0x7e]
+0x7f,0x26,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte2_e32 v5, 0    ; encoding: [0x80,0x26,0x0a,0x7e]
+0x80,0x26,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte2_e32 v5, -1    ; encoding: [0xc1,0x26,0x0a,0x7e]
+0xc1,0x26,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte2_e32 v5, 0.5    ; encoding: [0xf0,0x26,0x0a,0x7e]
+0xf0,0x26,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte2_e32 v5, -4.0    ; encoding: [0xf7,0x26,0x0a,0x7e]
+0xf7,0x26,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte2_e32 v5, 0xaf123456    ; encoding: [0xff,0x26,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x26,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_cvt_f32_ubyte2_e32 v5, 0x3f717273    ; encoding: [0xff,0x26,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x26,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_cvt_f32_ubyte2_e64 v5, v1    ; encoding: [0x05,0x00,0x53,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x53,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte2_e64 v255, v1    ; encoding: [0xff,0x00,0x53,0xd1,0x01,0x01,0x00,0x00]
+0xff,0x00,0x53,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte2_e64 v5, v255    ; encoding: [0x05,0x00,0x53,0xd1,0xff,0x01,0x00,0x00]
+0x05,0x00,0x53,0xd1,0xff,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte2_e64 v5, s1    ; encoding: [0x05,0x00,0x53,0xd1,0x01,0x00,0x00,0x00]
+0x05,0x00,0x53,0xd1,0x01,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte2_e64 v5, s101    ; encoding: [0x05,0x00,0x53,0xd1,0x65,0x00,0x00,0x00]
+0x05,0x00,0x53,0xd1,0x65,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte2_e64 v5, flat_scratch_lo    ; encoding: [0x05,0x00,0x53,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x53,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte2_e64 v5, flat_scratch_hi    ; encoding: [0x05,0x00,0x53,0xd1,0x67,0x00,0x00,0x00]
+0x05,0x00,0x53,0xd1,0x67,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte2_e64 v5, vcc_lo    ; encoding: [0x05,0x00,0x53,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x53,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte2_e64 v5, vcc_hi    ; encoding: [0x05,0x00,0x53,0xd1,0x6b,0x00,0x00,0x00]
+0x05,0x00,0x53,0xd1,0x6b,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte2_e64 v5, m0    ; encoding: [0x05,0x00,0x53,0xd1,0x7c,0x00,0x00,0x00]
+0x05,0x00,0x53,0xd1,0x7c,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte2_e64 v5, exec_lo    ; encoding: [0x05,0x00,0x53,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x53,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte2_e64 v5, exec_hi    ; encoding: [0x05,0x00,0x53,0xd1,0x7f,0x00,0x00,0x00]
+0x05,0x00,0x53,0xd1,0x7f,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte2_e64 v5, 0    ; encoding: [0x05,0x00,0x53,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x53,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte2_e64 v5, -1    ; encoding: [0x05,0x00,0x53,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x53,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte2_e64 v5, 0.5    ; encoding: [0x05,0x00,0x53,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x53,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte2_e64 v5, -4.0    ; encoding: [0x05,0x00,0x53,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x53,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte2_e64 v5, v1 clamp    ; encoding: [0x05,0x80,0x53,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x80,0x53,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte2_e64 v5, v1 mul:2    ; encoding: [0x05,0x00,0x53,0xd1,0x01,0x01,0x00,0x08]
+0x05,0x00,0x53,0xd1,0x01,0x01,0x00,0x08
+
+# CHECK: v_cvt_f32_ubyte2_e64 v5, v1 mul:4    ; encoding: [0x05,0x00,0x53,0xd1,0x01,0x01,0x00,0x10]
+0x05,0x00,0x53,0xd1,0x01,0x01,0x00,0x10
+
+# CHECK: v_cvt_f32_ubyte2_e64 v5, v1 div:2    ; encoding: [0x05,0x00,0x53,0xd1,0x01,0x01,0x00,0x18]
+0x05,0x00,0x53,0xd1,0x01,0x01,0x00,0x18
+
+# CHECK: v_cvt_f32_ubyte3_e32 v5, v1    ; encoding: [0x01,0x29,0x0a,0x7e]
+0x01,0x29,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte3_e32 v255, v1    ; encoding: [0x01,0x29,0xfe,0x7f]
+0x01,0x29,0xfe,0x7f
+
+# CHECK: v_cvt_f32_ubyte3_e32 v5, v255    ; encoding: [0xff,0x29,0x0a,0x7e]
+0xff,0x29,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte3_e32 v5, s1    ; encoding: [0x01,0x28,0x0a,0x7e]
+0x01,0x28,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte3_e32 v5, s101    ; encoding: [0x65,0x28,0x0a,0x7e]
+0x65,0x28,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte3_e32 v5, flat_scratch_lo    ; encoding: [0x66,0x28,0x0a,0x7e]
+0x66,0x28,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte3_e32 v5, flat_scratch_hi    ; encoding: [0x67,0x28,0x0a,0x7e]
+0x67,0x28,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte3_e32 v5, vcc_lo    ; encoding: [0x6a,0x28,0x0a,0x7e]
+0x6a,0x28,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte3_e32 v5, vcc_hi    ; encoding: [0x6b,0x28,0x0a,0x7e]
+0x6b,0x28,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte3_e32 v5, m0    ; encoding: [0x7c,0x28,0x0a,0x7e]
+0x7c,0x28,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte3_e32 v5, exec_lo    ; encoding: [0x7e,0x28,0x0a,0x7e]
+0x7e,0x28,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte3_e32 v5, exec_hi    ; encoding: [0x7f,0x28,0x0a,0x7e]
+0x7f,0x28,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte3_e32 v5, 0    ; encoding: [0x80,0x28,0x0a,0x7e]
+0x80,0x28,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte3_e32 v5, -1    ; encoding: [0xc1,0x28,0x0a,0x7e]
+0xc1,0x28,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte3_e32 v5, 0.5    ; encoding: [0xf0,0x28,0x0a,0x7e]
+0xf0,0x28,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte3_e32 v5, -4.0    ; encoding: [0xf7,0x28,0x0a,0x7e]
+0xf7,0x28,0x0a,0x7e
+
+# CHECK: v_cvt_f32_ubyte3_e32 v5, 0xaf123456    ; encoding: [0xff,0x28,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x28,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_cvt_f32_ubyte3_e32 v5, 0x3f717273    ; encoding: [0xff,0x28,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x28,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_cvt_f32_ubyte3_e64 v5, v1    ; encoding: [0x05,0x00,0x54,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x54,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte3_e64 v255, v1    ; encoding: [0xff,0x00,0x54,0xd1,0x01,0x01,0x00,0x00]
+0xff,0x00,0x54,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte3_e64 v5, v255    ; encoding: [0x05,0x00,0x54,0xd1,0xff,0x01,0x00,0x00]
+0x05,0x00,0x54,0xd1,0xff,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte3_e64 v5, s1    ; encoding: [0x05,0x00,0x54,0xd1,0x01,0x00,0x00,0x00]
+0x05,0x00,0x54,0xd1,0x01,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte3_e64 v5, s101    ; encoding: [0x05,0x00,0x54,0xd1,0x65,0x00,0x00,0x00]
+0x05,0x00,0x54,0xd1,0x65,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte3_e64 v5, flat_scratch_lo    ; encoding: [0x05,0x00,0x54,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x54,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte3_e64 v5, flat_scratch_hi    ; encoding: [0x05,0x00,0x54,0xd1,0x67,0x00,0x00,0x00]
+0x05,0x00,0x54,0xd1,0x67,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte3_e64 v5, vcc_lo    ; encoding: [0x05,0x00,0x54,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x54,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte3_e64 v5, vcc_hi    ; encoding: [0x05,0x00,0x54,0xd1,0x6b,0x00,0x00,0x00]
+0x05,0x00,0x54,0xd1,0x6b,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte3_e64 v5, m0    ; encoding: [0x05,0x00,0x54,0xd1,0x7c,0x00,0x00,0x00]
+0x05,0x00,0x54,0xd1,0x7c,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte3_e64 v5, exec_lo    ; encoding: [0x05,0x00,0x54,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x54,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte3_e64 v5, exec_hi    ; encoding: [0x05,0x00,0x54,0xd1,0x7f,0x00,0x00,0x00]
+0x05,0x00,0x54,0xd1,0x7f,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte3_e64 v5, 0    ; encoding: [0x05,0x00,0x54,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x54,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte3_e64 v5, -1    ; encoding: [0x05,0x00,0x54,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x54,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte3_e64 v5, 0.5    ; encoding: [0x05,0x00,0x54,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x54,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte3_e64 v5, -4.0    ; encoding: [0x05,0x00,0x54,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x54,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte3_e64 v5, v1 clamp    ; encoding: [0x05,0x80,0x54,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x80,0x54,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f32_ubyte3_e64 v5, v1 mul:2    ; encoding: [0x05,0x00,0x54,0xd1,0x01,0x01,0x00,0x08]
+0x05,0x00,0x54,0xd1,0x01,0x01,0x00,0x08
+
+# CHECK: v_cvt_f32_ubyte3_e64 v5, v1 mul:4    ; encoding: [0x05,0x00,0x54,0xd1,0x01,0x01,0x00,0x10]
+0x05,0x00,0x54,0xd1,0x01,0x01,0x00,0x10
+
+# CHECK: v_cvt_f32_ubyte3_e64 v5, v1 div:2    ; encoding: [0x05,0x00,0x54,0xd1,0x01,0x01,0x00,0x18]
+0x05,0x00,0x54,0xd1,0x01,0x01,0x00,0x18
+
+# CHECK: v_cvt_u32_f64_e32 v5, v[1:2]    ; encoding: [0x01,0x2b,0x0a,0x7e]
+0x01,0x2b,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f64_e32 v255, v[1:2]    ; encoding: [0x01,0x2b,0xfe,0x7f]
+0x01,0x2b,0xfe,0x7f
+
+# CHECK: v_cvt_u32_f64_e32 v5, v[254:255]    ; encoding: [0xfe,0x2b,0x0a,0x7e]
+0xfe,0x2b,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f64_e32 v5, s[2:3]    ; encoding: [0x02,0x2a,0x0a,0x7e]
+0x02,0x2a,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f64_e32 v5, s[4:5]    ; encoding: [0x04,0x2a,0x0a,0x7e]
+0x04,0x2a,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f64_e32 v5, s[100:101]    ; encoding: [0x64,0x2a,0x0a,0x7e]
+0x64,0x2a,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f64_e32 v5, flat_scratch    ; encoding: [0x66,0x2a,0x0a,0x7e]
+0x66,0x2a,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f64_e32 v5, vcc    ; encoding: [0x6a,0x2a,0x0a,0x7e]
+0x6a,0x2a,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f64_e32 v5, exec    ; encoding: [0x7e,0x2a,0x0a,0x7e]
+0x7e,0x2a,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f64_e32 v5, 0    ; encoding: [0x80,0x2a,0x0a,0x7e]
+0x80,0x2a,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f64_e32 v5, -1    ; encoding: [0xc1,0x2a,0x0a,0x7e]
+0xc1,0x2a,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f64_e32 v5, 0.5    ; encoding: [0xf0,0x2a,0x0a,0x7e]
+0xf0,0x2a,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f64_e32 v5, -4.0    ; encoding: [0xf7,0x2a,0x0a,0x7e]
+0xf7,0x2a,0x0a,0x7e
+
+# CHECK: v_cvt_u32_f64_e32 v5, 0xaf123456    ; encoding: [0xff,0x2a,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x2a,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_cvt_u32_f64_e32 v5, 0x3f717273    ; encoding: [0xff,0x2a,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x2a,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_cvt_u32_f64_e64 v5, v[1:2]    ; encoding: [0x05,0x00,0x55,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x55,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_u32_f64_e64 v255, v[1:2]    ; encoding: [0xff,0x00,0x55,0xd1,0x01,0x01,0x00,0x00]
+0xff,0x00,0x55,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_u32_f64_e64 v5, v[254:255]    ; encoding: [0x05,0x00,0x55,0xd1,0xfe,0x01,0x00,0x00]
+0x05,0x00,0x55,0xd1,0xfe,0x01,0x00,0x00
+
+# CHECK: v_cvt_u32_f64_e64 v5, s[2:3]    ; encoding: [0x05,0x00,0x55,0xd1,0x02,0x00,0x00,0x00]
+0x05,0x00,0x55,0xd1,0x02,0x00,0x00,0x00
+
+# CHECK: v_cvt_u32_f64_e64 v5, s[4:5]    ; encoding: [0x05,0x00,0x55,0xd1,0x04,0x00,0x00,0x00]
+0x05,0x00,0x55,0xd1,0x04,0x00,0x00,0x00
+
+# CHECK: v_cvt_u32_f64_e64 v5, s[100:101]    ; encoding: [0x05,0x00,0x55,0xd1,0x64,0x00,0x00,0x00]
+0x05,0x00,0x55,0xd1,0x64,0x00,0x00,0x00
+
+# CHECK: v_cvt_u32_f64_e64 v5, flat_scratch    ; encoding: [0x05,0x00,0x55,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x55,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_cvt_u32_f64_e64 v5, vcc    ; encoding: [0x05,0x00,0x55,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x55,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_cvt_u32_f64_e64 v5, exec    ; encoding: [0x05,0x00,0x55,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x55,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_cvt_u32_f64_e64 v5, 0    ; encoding: [0x05,0x00,0x55,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x55,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_cvt_u32_f64_e64 v5, -1    ; encoding: [0x05,0x00,0x55,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x55,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_cvt_u32_f64_e64 v5, 0.5    ; encoding: [0x05,0x00,0x55,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x55,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_cvt_u32_f64_e64 v5, -4.0    ; encoding: [0x05,0x00,0x55,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x55,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_cvt_u32_f64_e64 v5, -v[1:2]    ; encoding: [0x05,0x00,0x55,0xd1,0x01,0x01,0x00,0x20]
+0x05,0x00,0x55,0xd1,0x01,0x01,0x00,0x20
+
+# CHECK: v_cvt_u32_f64_e64 v5, |v[1:2]|    ; encoding: [0x05,0x01,0x55,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x01,0x55,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_u32_f64_e64 v5, v[1:2] clamp    ; encoding: [0x05,0x80,0x55,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x80,0x55,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f64_u32_e32 v[5:6], v1    ; encoding: [0x01,0x2d,0x0a,0x7e]
+0x01,0x2d,0x0a,0x7e
+
+# CHECK: v_cvt_f64_u32_e32 v[254:255], v1    ; encoding: [0x01,0x2d,0xfc,0x7f]
+0x01,0x2d,0xfc,0x7f
+
+# CHECK: v_cvt_f64_u32_e32 v[5:6], v255    ; encoding: [0xff,0x2d,0x0a,0x7e]
+0xff,0x2d,0x0a,0x7e
+
+# CHECK: v_cvt_f64_u32_e32 v[5:6], s1    ; encoding: [0x01,0x2c,0x0a,0x7e]
+0x01,0x2c,0x0a,0x7e
+
+# CHECK: v_cvt_f64_u32_e32 v[5:6], s101    ; encoding: [0x65,0x2c,0x0a,0x7e]
+0x65,0x2c,0x0a,0x7e
+
+# CHECK: v_cvt_f64_u32_e32 v[5:6], flat_scratch_lo    ; encoding: [0x66,0x2c,0x0a,0x7e]
+0x66,0x2c,0x0a,0x7e
+
+# CHECK: v_cvt_f64_u32_e32 v[5:6], flat_scratch_hi    ; encoding: [0x67,0x2c,0x0a,0x7e]
+0x67,0x2c,0x0a,0x7e
+
+# CHECK: v_cvt_f64_u32_e32 v[5:6], vcc_lo    ; encoding: [0x6a,0x2c,0x0a,0x7e]
+0x6a,0x2c,0x0a,0x7e
+
+# CHECK: v_cvt_f64_u32_e32 v[5:6], vcc_hi    ; encoding: [0x6b,0x2c,0x0a,0x7e]
+0x6b,0x2c,0x0a,0x7e
+
+# CHECK: v_cvt_f64_u32_e32 v[5:6], m0    ; encoding: [0x7c,0x2c,0x0a,0x7e]
+0x7c,0x2c,0x0a,0x7e
+
+# CHECK: v_cvt_f64_u32_e32 v[5:6], exec_lo    ; encoding: [0x7e,0x2c,0x0a,0x7e]
+0x7e,0x2c,0x0a,0x7e
+
+# CHECK: v_cvt_f64_u32_e32 v[5:6], exec_hi    ; encoding: [0x7f,0x2c,0x0a,0x7e]
+0x7f,0x2c,0x0a,0x7e
+
+# CHECK: v_cvt_f64_u32_e32 v[5:6], 0    ; encoding: [0x80,0x2c,0x0a,0x7e]
+0x80,0x2c,0x0a,0x7e
+
+# CHECK: v_cvt_f64_u32_e32 v[5:6], -1    ; encoding: [0xc1,0x2c,0x0a,0x7e]
+0xc1,0x2c,0x0a,0x7e
+
+# CHECK: v_cvt_f64_u32_e32 v[5:6], 0.5    ; encoding: [0xf0,0x2c,0x0a,0x7e]
+0xf0,0x2c,0x0a,0x7e
+
+# CHECK: v_cvt_f64_u32_e32 v[5:6], -4.0    ; encoding: [0xf7,0x2c,0x0a,0x7e]
+0xf7,0x2c,0x0a,0x7e
+
+# CHECK: v_cvt_f64_u32_e32 v[5:6], 0xaf123456    ; encoding: [0xff,0x2c,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x2c,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_cvt_f64_u32_e32 v[5:6], 0x3f717273    ; encoding: [0xff,0x2c,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x2c,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_cvt_f64_u32_e64 v[5:6], v1    ; encoding: [0x05,0x00,0x56,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x56,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f64_u32_e64 v[254:255], v1    ; encoding: [0xfe,0x00,0x56,0xd1,0x01,0x01,0x00,0x00]
+0xfe,0x00,0x56,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f64_u32_e64 v[5:6], v255    ; encoding: [0x05,0x00,0x56,0xd1,0xff,0x01,0x00,0x00]
+0x05,0x00,0x56,0xd1,0xff,0x01,0x00,0x00
+
+# CHECK: v_cvt_f64_u32_e64 v[5:6], s1    ; encoding: [0x05,0x00,0x56,0xd1,0x01,0x00,0x00,0x00]
+0x05,0x00,0x56,0xd1,0x01,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_u32_e64 v[5:6], s101    ; encoding: [0x05,0x00,0x56,0xd1,0x65,0x00,0x00,0x00]
+0x05,0x00,0x56,0xd1,0x65,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_u32_e64 v[5:6], flat_scratch_lo    ; encoding: [0x05,0x00,0x56,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x56,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_u32_e64 v[5:6], flat_scratch_hi    ; encoding: [0x05,0x00,0x56,0xd1,0x67,0x00,0x00,0x00]
+0x05,0x00,0x56,0xd1,0x67,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_u32_e64 v[5:6], vcc_lo    ; encoding: [0x05,0x00,0x56,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x56,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_u32_e64 v[5:6], vcc_hi    ; encoding: [0x05,0x00,0x56,0xd1,0x6b,0x00,0x00,0x00]
+0x05,0x00,0x56,0xd1,0x6b,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_u32_e64 v[5:6], m0    ; encoding: [0x05,0x00,0x56,0xd1,0x7c,0x00,0x00,0x00]
+0x05,0x00,0x56,0xd1,0x7c,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_u32_e64 v[5:6], exec_lo    ; encoding: [0x05,0x00,0x56,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x56,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_u32_e64 v[5:6], exec_hi    ; encoding: [0x05,0x00,0x56,0xd1,0x7f,0x00,0x00,0x00]
+0x05,0x00,0x56,0xd1,0x7f,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_u32_e64 v[5:6], 0    ; encoding: [0x05,0x00,0x56,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x56,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_u32_e64 v[5:6], -1    ; encoding: [0x05,0x00,0x56,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x56,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_u32_e64 v[5:6], 0.5    ; encoding: [0x05,0x00,0x56,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x56,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_u32_e64 v[5:6], -4.0    ; encoding: [0x05,0x00,0x56,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x56,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_cvt_f64_u32_e64 v[5:6], v1 clamp    ; encoding: [0x05,0x80,0x56,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x80,0x56,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_cvt_f64_u32_e64 v[5:6], v1 mul:2    ; encoding: [0x05,0x00,0x56,0xd1,0x01,0x01,0x00,0x08]
+0x05,0x00,0x56,0xd1,0x01,0x01,0x00,0x08
+
+# CHECK: v_cvt_f64_u32_e64 v[5:6], v1 mul:4    ; encoding: [0x05,0x00,0x56,0xd1,0x01,0x01,0x00,0x10]
+0x05,0x00,0x56,0xd1,0x01,0x01,0x00,0x10
+
+# CHECK: v_cvt_f64_u32_e64 v[5:6], v1 div:2    ; encoding: [0x05,0x00,0x56,0xd1,0x01,0x01,0x00,0x18]
+0x05,0x00,0x56,0xd1,0x01,0x01,0x00,0x18
+
+# CHECK: v_trunc_f64_e32 v[5:6], v[1:2]    ; encoding: [0x01,0x2f,0x0a,0x7e]
+0x01,0x2f,0x0a,0x7e
+
+# CHECK: v_trunc_f64_e32 v[254:255], v[1:2]    ; encoding: [0x01,0x2f,0xfc,0x7f]
+0x01,0x2f,0xfc,0x7f
+
+# CHECK: v_trunc_f64_e32 v[5:6], v[254:255]    ; encoding: [0xfe,0x2f,0x0a,0x7e]
+0xfe,0x2f,0x0a,0x7e
+
+# CHECK: v_trunc_f64_e32 v[5:6], s[2:3]    ; encoding: [0x02,0x2e,0x0a,0x7e]
+0x02,0x2e,0x0a,0x7e
+
+# CHECK: v_trunc_f64_e32 v[5:6], s[4:5]    ; encoding: [0x04,0x2e,0x0a,0x7e]
+0x04,0x2e,0x0a,0x7e
+
+# CHECK: v_trunc_f64_e32 v[5:6], s[100:101]    ; encoding: [0x64,0x2e,0x0a,0x7e]
+0x64,0x2e,0x0a,0x7e
+
+# CHECK: v_trunc_f64_e32 v[5:6], flat_scratch    ; encoding: [0x66,0x2e,0x0a,0x7e]
+0x66,0x2e,0x0a,0x7e
+
+# CHECK: v_trunc_f64_e32 v[5:6], vcc    ; encoding: [0x6a,0x2e,0x0a,0x7e]
+0x6a,0x2e,0x0a,0x7e
+
+# CHECK: v_trunc_f64_e32 v[5:6], exec    ; encoding: [0x7e,0x2e,0x0a,0x7e]
+0x7e,0x2e,0x0a,0x7e
+
+# CHECK: v_trunc_f64_e32 v[5:6], 0    ; encoding: [0x80,0x2e,0x0a,0x7e]
+0x80,0x2e,0x0a,0x7e
+
+# CHECK: v_trunc_f64_e32 v[5:6], -1    ; encoding: [0xc1,0x2e,0x0a,0x7e]
+0xc1,0x2e,0x0a,0x7e
+
+# CHECK: v_trunc_f64_e32 v[5:6], 0.5    ; encoding: [0xf0,0x2e,0x0a,0x7e]
+0xf0,0x2e,0x0a,0x7e
+
+# CHECK: v_trunc_f64_e32 v[5:6], -4.0    ; encoding: [0xf7,0x2e,0x0a,0x7e]
+0xf7,0x2e,0x0a,0x7e
+
+# CHECK: v_trunc_f64_e32 v[5:6], 0xaf123456    ; encoding: [0xff,0x2e,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x2e,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_trunc_f64_e32 v[5:6], 0x3f717273    ; encoding: [0xff,0x2e,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x2e,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_trunc_f64_e64 v[5:6], v[1:2]    ; encoding: [0x05,0x00,0x57,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x57,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_trunc_f64_e64 v[254:255], v[1:2]    ; encoding: [0xfe,0x00,0x57,0xd1,0x01,0x01,0x00,0x00]
+0xfe,0x00,0x57,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_trunc_f64_e64 v[5:6], v[254:255]    ; encoding: [0x05,0x00,0x57,0xd1,0xfe,0x01,0x00,0x00]
+0x05,0x00,0x57,0xd1,0xfe,0x01,0x00,0x00
+
+# CHECK: v_trunc_f64_e64 v[5:6], s[2:3]    ; encoding: [0x05,0x00,0x57,0xd1,0x02,0x00,0x00,0x00]
+0x05,0x00,0x57,0xd1,0x02,0x00,0x00,0x00
+
+# CHECK: v_trunc_f64_e64 v[5:6], s[4:5]    ; encoding: [0x05,0x00,0x57,0xd1,0x04,0x00,0x00,0x00]
+0x05,0x00,0x57,0xd1,0x04,0x00,0x00,0x00
+
+# CHECK: v_trunc_f64_e64 v[5:6], s[100:101]    ; encoding: [0x05,0x00,0x57,0xd1,0x64,0x00,0x00,0x00]
+0x05,0x00,0x57,0xd1,0x64,0x00,0x00,0x00
+
+# CHECK: v_trunc_f64_e64 v[5:6], flat_scratch    ; encoding: [0x05,0x00,0x57,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x57,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_trunc_f64_e64 v[5:6], vcc    ; encoding: [0x05,0x00,0x57,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x57,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_trunc_f64_e64 v[5:6], exec    ; encoding: [0x05,0x00,0x57,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x57,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_trunc_f64_e64 v[5:6], 0    ; encoding: [0x05,0x00,0x57,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x57,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_trunc_f64_e64 v[5:6], -1    ; encoding: [0x05,0x00,0x57,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x57,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_trunc_f64_e64 v[5:6], 0.5    ; encoding: [0x05,0x00,0x57,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x57,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_trunc_f64_e64 v[5:6], -4.0    ; encoding: [0x05,0x00,0x57,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x57,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_trunc_f64_e64 v[5:6], -v[1:2]    ; encoding: [0x05,0x00,0x57,0xd1,0x01,0x01,0x00,0x20]
+0x05,0x00,0x57,0xd1,0x01,0x01,0x00,0x20
+
+# CHECK: v_trunc_f64_e64 v[5:6], |v[1:2]|    ; encoding: [0x05,0x01,0x57,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x01,0x57,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_trunc_f64_e64 v[5:6], v[1:2] clamp    ; encoding: [0x05,0x80,0x57,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x80,0x57,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_trunc_f64_e64 v[5:6], v[1:2] mul:2    ; encoding: [0x05,0x00,0x57,0xd1,0x01,0x01,0x00,0x08]
+0x05,0x00,0x57,0xd1,0x01,0x01,0x00,0x08
+
+# CHECK: v_trunc_f64_e64 v[5:6], v[1:2] mul:4    ; encoding: [0x05,0x00,0x57,0xd1,0x01,0x01,0x00,0x10]
+0x05,0x00,0x57,0xd1,0x01,0x01,0x00,0x10
+
+# CHECK: v_trunc_f64_e64 v[5:6], v[1:2] div:2    ; encoding: [0x05,0x00,0x57,0xd1,0x01,0x01,0x00,0x18]
+0x05,0x00,0x57,0xd1,0x01,0x01,0x00,0x18
+
+# CHECK: v_ceil_f64_e32 v[5:6], v[1:2]    ; encoding: [0x01,0x31,0x0a,0x7e]
+0x01,0x31,0x0a,0x7e
+
+# CHECK: v_ceil_f64_e32 v[254:255], v[1:2]    ; encoding: [0x01,0x31,0xfc,0x7f]
+0x01,0x31,0xfc,0x7f
+
+# CHECK: v_ceil_f64_e32 v[5:6], v[254:255]    ; encoding: [0xfe,0x31,0x0a,0x7e]
+0xfe,0x31,0x0a,0x7e
+
+# CHECK: v_ceil_f64_e32 v[5:6], s[2:3]    ; encoding: [0x02,0x30,0x0a,0x7e]
+0x02,0x30,0x0a,0x7e
+
+# CHECK: v_ceil_f64_e32 v[5:6], s[4:5]    ; encoding: [0x04,0x30,0x0a,0x7e]
+0x04,0x30,0x0a,0x7e
+
+# CHECK: v_ceil_f64_e32 v[5:6], s[100:101]    ; encoding: [0x64,0x30,0x0a,0x7e]
+0x64,0x30,0x0a,0x7e
+
+# CHECK: v_ceil_f64_e32 v[5:6], flat_scratch    ; encoding: [0x66,0x30,0x0a,0x7e]
+0x66,0x30,0x0a,0x7e
+
+# CHECK: v_ceil_f64_e32 v[5:6], vcc    ; encoding: [0x6a,0x30,0x0a,0x7e]
+0x6a,0x30,0x0a,0x7e
+
+# CHECK: v_ceil_f64_e32 v[5:6], exec    ; encoding: [0x7e,0x30,0x0a,0x7e]
+0x7e,0x30,0x0a,0x7e
+
+# CHECK: v_ceil_f64_e32 v[5:6], 0    ; encoding: [0x80,0x30,0x0a,0x7e]
+0x80,0x30,0x0a,0x7e
+
+# CHECK: v_ceil_f64_e32 v[5:6], -1    ; encoding: [0xc1,0x30,0x0a,0x7e]
+0xc1,0x30,0x0a,0x7e
+
+# CHECK: v_ceil_f64_e32 v[5:6], 0.5    ; encoding: [0xf0,0x30,0x0a,0x7e]
+0xf0,0x30,0x0a,0x7e
+
+# CHECK: v_ceil_f64_e32 v[5:6], -4.0    ; encoding: [0xf7,0x30,0x0a,0x7e]
+0xf7,0x30,0x0a,0x7e
+
+# CHECK: v_ceil_f64_e32 v[5:6], 0xaf123456    ; encoding: [0xff,0x30,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x30,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_ceil_f64_e32 v[5:6], 0x3f717273    ; encoding: [0xff,0x30,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x30,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_ceil_f64_e64 v[5:6], v[1:2]    ; encoding: [0x05,0x00,0x58,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x58,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_ceil_f64_e64 v[254:255], v[1:2]    ; encoding: [0xfe,0x00,0x58,0xd1,0x01,0x01,0x00,0x00]
+0xfe,0x00,0x58,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_ceil_f64_e64 v[5:6], v[254:255]    ; encoding: [0x05,0x00,0x58,0xd1,0xfe,0x01,0x00,0x00]
+0x05,0x00,0x58,0xd1,0xfe,0x01,0x00,0x00
+
+# CHECK: v_ceil_f64_e64 v[5:6], s[2:3]    ; encoding: [0x05,0x00,0x58,0xd1,0x02,0x00,0x00,0x00]
+0x05,0x00,0x58,0xd1,0x02,0x00,0x00,0x00
+
+# CHECK: v_ceil_f64_e64 v[5:6], s[4:5]    ; encoding: [0x05,0x00,0x58,0xd1,0x04,0x00,0x00,0x00]
+0x05,0x00,0x58,0xd1,0x04,0x00,0x00,0x00
+
+# CHECK: v_ceil_f64_e64 v[5:6], s[100:101]    ; encoding: [0x05,0x00,0x58,0xd1,0x64,0x00,0x00,0x00]
+0x05,0x00,0x58,0xd1,0x64,0x00,0x00,0x00
+
+# CHECK: v_ceil_f64_e64 v[5:6], flat_scratch    ; encoding: [0x05,0x00,0x58,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x58,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_ceil_f64_e64 v[5:6], vcc    ; encoding: [0x05,0x00,0x58,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x58,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_ceil_f64_e64 v[5:6], exec    ; encoding: [0x05,0x00,0x58,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x58,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_ceil_f64_e64 v[5:6], 0    ; encoding: [0x05,0x00,0x58,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x58,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_ceil_f64_e64 v[5:6], -1    ; encoding: [0x05,0x00,0x58,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x58,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_ceil_f64_e64 v[5:6], 0.5    ; encoding: [0x05,0x00,0x58,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x58,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_ceil_f64_e64 v[5:6], -4.0    ; encoding: [0x05,0x00,0x58,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x58,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_ceil_f64_e64 v[5:6], -v[1:2]    ; encoding: [0x05,0x00,0x58,0xd1,0x01,0x01,0x00,0x20]
+0x05,0x00,0x58,0xd1,0x01,0x01,0x00,0x20
+
+# CHECK: v_ceil_f64_e64 v[5:6], |v[1:2]|    ; encoding: [0x05,0x01,0x58,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x01,0x58,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_ceil_f64_e64 v[5:6], v[1:2] clamp    ; encoding: [0x05,0x80,0x58,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x80,0x58,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_ceil_f64_e64 v[5:6], v[1:2] mul:2    ; encoding: [0x05,0x00,0x58,0xd1,0x01,0x01,0x00,0x08]
+0x05,0x00,0x58,0xd1,0x01,0x01,0x00,0x08
+
+# CHECK: v_ceil_f64_e64 v[5:6], v[1:2] mul:4    ; encoding: [0x05,0x00,0x58,0xd1,0x01,0x01,0x00,0x10]
+0x05,0x00,0x58,0xd1,0x01,0x01,0x00,0x10
+
+# CHECK: v_ceil_f64_e64 v[5:6], v[1:2] div:2    ; encoding: [0x05,0x00,0x58,0xd1,0x01,0x01,0x00,0x18]
+0x05,0x00,0x58,0xd1,0x01,0x01,0x00,0x18
+
+# CHECK: v_rndne_f64_e32 v[5:6], v[1:2]    ; encoding: [0x01,0x33,0x0a,0x7e]
+0x01,0x33,0x0a,0x7e
+
+# CHECK: v_rndne_f64_e32 v[254:255], v[1:2]    ; encoding: [0x01,0x33,0xfc,0x7f]
+0x01,0x33,0xfc,0x7f
+
+# CHECK: v_rndne_f64_e32 v[5:6], v[254:255]    ; encoding: [0xfe,0x33,0x0a,0x7e]
+0xfe,0x33,0x0a,0x7e
+
+# CHECK: v_rndne_f64_e32 v[5:6], s[2:3]    ; encoding: [0x02,0x32,0x0a,0x7e]
+0x02,0x32,0x0a,0x7e
+
+# CHECK: v_rndne_f64_e32 v[5:6], s[4:5]    ; encoding: [0x04,0x32,0x0a,0x7e]
+0x04,0x32,0x0a,0x7e
+
+# CHECK: v_rndne_f64_e32 v[5:6], s[100:101]    ; encoding: [0x64,0x32,0x0a,0x7e]
+0x64,0x32,0x0a,0x7e
+
+# CHECK: v_rndne_f64_e32 v[5:6], flat_scratch    ; encoding: [0x66,0x32,0x0a,0x7e]
+0x66,0x32,0x0a,0x7e
+
+# CHECK: v_rndne_f64_e32 v[5:6], vcc    ; encoding: [0x6a,0x32,0x0a,0x7e]
+0x6a,0x32,0x0a,0x7e
+
+# CHECK: v_rndne_f64_e32 v[5:6], exec    ; encoding: [0x7e,0x32,0x0a,0x7e]
+0x7e,0x32,0x0a,0x7e
+
+# CHECK: v_rndne_f64_e32 v[5:6], 0    ; encoding: [0x80,0x32,0x0a,0x7e]
+0x80,0x32,0x0a,0x7e
+
+# CHECK: v_rndne_f64_e32 v[5:6], -1    ; encoding: [0xc1,0x32,0x0a,0x7e]
+0xc1,0x32,0x0a,0x7e
+
+# CHECK: v_rndne_f64_e32 v[5:6], 0.5    ; encoding: [0xf0,0x32,0x0a,0x7e]
+0xf0,0x32,0x0a,0x7e
+
+# CHECK: v_rndne_f64_e32 v[5:6], -4.0    ; encoding: [0xf7,0x32,0x0a,0x7e]
+0xf7,0x32,0x0a,0x7e
+
+# CHECK: v_rndne_f64_e32 v[5:6], 0xaf123456    ; encoding: [0xff,0x32,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x32,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_rndne_f64_e32 v[5:6], 0x3f717273    ; encoding: [0xff,0x32,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x32,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_rndne_f64_e64 v[5:6], v[1:2]    ; encoding: [0x05,0x00,0x59,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x59,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_rndne_f64_e64 v[254:255], v[1:2]    ; encoding: [0xfe,0x00,0x59,0xd1,0x01,0x01,0x00,0x00]
+0xfe,0x00,0x59,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_rndne_f64_e64 v[5:6], v[254:255]    ; encoding: [0x05,0x00,0x59,0xd1,0xfe,0x01,0x00,0x00]
+0x05,0x00,0x59,0xd1,0xfe,0x01,0x00,0x00
+
+# CHECK: v_rndne_f64_e64 v[5:6], s[2:3]    ; encoding: [0x05,0x00,0x59,0xd1,0x02,0x00,0x00,0x00]
+0x05,0x00,0x59,0xd1,0x02,0x00,0x00,0x00
+
+# CHECK: v_rndne_f64_e64 v[5:6], s[4:5]    ; encoding: [0x05,0x00,0x59,0xd1,0x04,0x00,0x00,0x00]
+0x05,0x00,0x59,0xd1,0x04,0x00,0x00,0x00
+
+# CHECK: v_rndne_f64_e64 v[5:6], s[100:101]    ; encoding: [0x05,0x00,0x59,0xd1,0x64,0x00,0x00,0x00]
+0x05,0x00,0x59,0xd1,0x64,0x00,0x00,0x00
+
+# CHECK: v_rndne_f64_e64 v[5:6], flat_scratch    ; encoding: [0x05,0x00,0x59,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x59,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_rndne_f64_e64 v[5:6], vcc    ; encoding: [0x05,0x00,0x59,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x59,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_rndne_f64_e64 v[5:6], exec    ; encoding: [0x05,0x00,0x59,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x59,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_rndne_f64_e64 v[5:6], 0    ; encoding: [0x05,0x00,0x59,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x59,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_rndne_f64_e64 v[5:6], -1    ; encoding: [0x05,0x00,0x59,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x59,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_rndne_f64_e64 v[5:6], 0.5    ; encoding: [0x05,0x00,0x59,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x59,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_rndne_f64_e64 v[5:6], -4.0    ; encoding: [0x05,0x00,0x59,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x59,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_rndne_f64_e64 v[5:6], -v[1:2]    ; encoding: [0x05,0x00,0x59,0xd1,0x01,0x01,0x00,0x20]
+0x05,0x00,0x59,0xd1,0x01,0x01,0x00,0x20
+
+# CHECK: v_rndne_f64_e64 v[5:6], v[1:2] clamp    ; encoding: [0x05,0x80,0x59,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x80,0x59,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_rndne_f64_e64 v[5:6], v[1:2] mul:2    ; encoding: [0x05,0x00,0x59,0xd1,0x01,0x01,0x00,0x08]
+0x05,0x00,0x59,0xd1,0x01,0x01,0x00,0x08
+
+# CHECK: v_rndne_f64_e64 v[5:6], v[1:2] mul:4    ; encoding: [0x05,0x00,0x59,0xd1,0x01,0x01,0x00,0x10]
+0x05,0x00,0x59,0xd1,0x01,0x01,0x00,0x10
+
+# CHECK: v_rndne_f64_e64 v[5:6], v[1:2] div:2    ; encoding: [0x05,0x00,0x59,0xd1,0x01,0x01,0x00,0x18]
+0x05,0x00,0x59,0xd1,0x01,0x01,0x00,0x18
+
+# CHECK: v_floor_f64_e32 v[5:6], v[1:2]    ; encoding: [0x01,0x35,0x0a,0x7e]
+0x01,0x35,0x0a,0x7e
+
+# CHECK: v_floor_f64_e32 v[254:255], v[1:2]    ; encoding: [0x01,0x35,0xfc,0x7f]
+0x01,0x35,0xfc,0x7f
+
+# CHECK: v_floor_f64_e32 v[5:6], v[254:255]    ; encoding: [0xfe,0x35,0x0a,0x7e]
+0xfe,0x35,0x0a,0x7e
+
+# CHECK: v_floor_f64_e32 v[5:6], s[2:3]    ; encoding: [0x02,0x34,0x0a,0x7e]
+0x02,0x34,0x0a,0x7e
+
+# CHECK: v_floor_f64_e32 v[5:6], s[4:5]    ; encoding: [0x04,0x34,0x0a,0x7e]
+0x04,0x34,0x0a,0x7e
+
+# CHECK: v_floor_f64_e32 v[5:6], s[100:101]    ; encoding: [0x64,0x34,0x0a,0x7e]
+0x64,0x34,0x0a,0x7e
+
+# CHECK: v_floor_f64_e32 v[5:6], flat_scratch    ; encoding: [0x66,0x34,0x0a,0x7e]
+0x66,0x34,0x0a,0x7e
+
+# CHECK: v_floor_f64_e32 v[5:6], vcc    ; encoding: [0x6a,0x34,0x0a,0x7e]
+0x6a,0x34,0x0a,0x7e
+
+# CHECK: v_floor_f64_e32 v[5:6], exec    ; encoding: [0x7e,0x34,0x0a,0x7e]
+0x7e,0x34,0x0a,0x7e
+
+# CHECK: v_floor_f64_e32 v[5:6], 0    ; encoding: [0x80,0x34,0x0a,0x7e]
+0x80,0x34,0x0a,0x7e
+
+# CHECK: v_floor_f64_e32 v[5:6], -1    ; encoding: [0xc1,0x34,0x0a,0x7e]
+0xc1,0x34,0x0a,0x7e
+
+# CHECK: v_floor_f64_e32 v[5:6], 0.5    ; encoding: [0xf0,0x34,0x0a,0x7e]
+0xf0,0x34,0x0a,0x7e
+
+# CHECK: v_floor_f64_e32 v[5:6], -4.0    ; encoding: [0xf7,0x34,0x0a,0x7e]
+0xf7,0x34,0x0a,0x7e
+
+# CHECK: v_floor_f64_e32 v[5:6], 0xaf123456    ; encoding: [0xff,0x34,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x34,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_floor_f64_e32 v[5:6], 0x3f717273    ; encoding: [0xff,0x34,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x34,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_floor_f64_e64 v[5:6], v[1:2]    ; encoding: [0x05,0x00,0x5a,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x5a,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_floor_f64_e64 v[254:255], v[1:2]    ; encoding: [0xfe,0x00,0x5a,0xd1,0x01,0x01,0x00,0x00]
+0xfe,0x00,0x5a,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_floor_f64_e64 v[5:6], v[254:255]    ; encoding: [0x05,0x00,0x5a,0xd1,0xfe,0x01,0x00,0x00]
+0x05,0x00,0x5a,0xd1,0xfe,0x01,0x00,0x00
+
+# CHECK: v_floor_f64_e64 v[5:6], s[2:3]    ; encoding: [0x05,0x00,0x5a,0xd1,0x02,0x00,0x00,0x00]
+0x05,0x00,0x5a,0xd1,0x02,0x00,0x00,0x00
+
+# CHECK: v_floor_f64_e64 v[5:6], s[4:5]    ; encoding: [0x05,0x00,0x5a,0xd1,0x04,0x00,0x00,0x00]
+0x05,0x00,0x5a,0xd1,0x04,0x00,0x00,0x00
+
+# CHECK: v_floor_f64_e64 v[5:6], s[100:101]    ; encoding: [0x05,0x00,0x5a,0xd1,0x64,0x00,0x00,0x00]
+0x05,0x00,0x5a,0xd1,0x64,0x00,0x00,0x00
+
+# CHECK: v_floor_f64_e64 v[5:6], flat_scratch    ; encoding: [0x05,0x00,0x5a,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x5a,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_floor_f64_e64 v[5:6], vcc    ; encoding: [0x05,0x00,0x5a,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x5a,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_floor_f64_e64 v[5:6], exec    ; encoding: [0x05,0x00,0x5a,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x5a,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_floor_f64_e64 v[5:6], 0    ; encoding: [0x05,0x00,0x5a,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x5a,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_floor_f64_e64 v[5:6], -1    ; encoding: [0x05,0x00,0x5a,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x5a,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_floor_f64_e64 v[5:6], 0.5    ; encoding: [0x05,0x00,0x5a,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x5a,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_floor_f64_e64 v[5:6], -4.0    ; encoding: [0x05,0x00,0x5a,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x5a,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_floor_f64_e64 v[5:6], -v[1:2]    ; encoding: [0x05,0x00,0x5a,0xd1,0x01,0x01,0x00,0x20]
+0x05,0x00,0x5a,0xd1,0x01,0x01,0x00,0x20
+
+# CHECK: v_floor_f64_e64 v[5:6], v[1:2] clamp    ; encoding: [0x05,0x80,0x5a,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x80,0x5a,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_floor_f64_e64 v[5:6], v[1:2] mul:2    ; encoding: [0x05,0x00,0x5a,0xd1,0x01,0x01,0x00,0x08]
+0x05,0x00,0x5a,0xd1,0x01,0x01,0x00,0x08
+
+# CHECK: v_floor_f64_e64 v[5:6], v[1:2] mul:4    ; encoding: [0x05,0x00,0x5a,0xd1,0x01,0x01,0x00,0x10]
+0x05,0x00,0x5a,0xd1,0x01,0x01,0x00,0x10
+
+# CHECK: v_floor_f64_e64 v[5:6], v[1:2] div:2    ; encoding: [0x05,0x00,0x5a,0xd1,0x01,0x01,0x00,0x18]
+0x05,0x00,0x5a,0xd1,0x01,0x01,0x00,0x18
+
+# CHECK: v_fract_f32_e32 v5, v1    ; encoding: [0x01,0x37,0x0a,0x7e]
+0x01,0x37,0x0a,0x7e
+
+# CHECK: v_fract_f32_e32 v255, v1    ; encoding: [0x01,0x37,0xfe,0x7f]
+0x01,0x37,0xfe,0x7f
+
+# CHECK: v_fract_f32_e32 v5, v255    ; encoding: [0xff,0x37,0x0a,0x7e]
+0xff,0x37,0x0a,0x7e
+
+# CHECK: v_fract_f32_e32 v5, s1    ; encoding: [0x01,0x36,0x0a,0x7e]
+0x01,0x36,0x0a,0x7e
+
+# CHECK: v_fract_f32_e32 v5, s101    ; encoding: [0x65,0x36,0x0a,0x7e]
+0x65,0x36,0x0a,0x7e
+
+# CHECK: v_fract_f32_e32 v5, flat_scratch_lo    ; encoding: [0x66,0x36,0x0a,0x7e]
+0x66,0x36,0x0a,0x7e
+
+# CHECK: v_fract_f32_e32 v5, flat_scratch_hi    ; encoding: [0x67,0x36,0x0a,0x7e]
+0x67,0x36,0x0a,0x7e
+
+# CHECK: v_fract_f32_e32 v5, vcc_lo    ; encoding: [0x6a,0x36,0x0a,0x7e]
+0x6a,0x36,0x0a,0x7e
+
+# CHECK: v_fract_f32_e32 v5, vcc_hi    ; encoding: [0x6b,0x36,0x0a,0x7e]
+0x6b,0x36,0x0a,0x7e
+
+# CHECK: v_fract_f32_e32 v5, m0    ; encoding: [0x7c,0x36,0x0a,0x7e]
+0x7c,0x36,0x0a,0x7e
+
+# CHECK: v_fract_f32_e32 v5, exec_lo    ; encoding: [0x7e,0x36,0x0a,0x7e]
+0x7e,0x36,0x0a,0x7e
+
+# CHECK: v_fract_f32_e32 v5, exec_hi    ; encoding: [0x7f,0x36,0x0a,0x7e]
+0x7f,0x36,0x0a,0x7e
+
+# CHECK: v_fract_f32_e32 v5, 0    ; encoding: [0x80,0x36,0x0a,0x7e]
+0x80,0x36,0x0a,0x7e
+
+# CHECK: v_fract_f32_e32 v5, -1    ; encoding: [0xc1,0x36,0x0a,0x7e]
+0xc1,0x36,0x0a,0x7e
+
+# CHECK: v_fract_f32_e32 v5, 0.5    ; encoding: [0xf0,0x36,0x0a,0x7e]
+0xf0,0x36,0x0a,0x7e
+
+# CHECK: v_fract_f32_e32 v5, -4.0    ; encoding: [0xf7,0x36,0x0a,0x7e]
+0xf7,0x36,0x0a,0x7e
+
+# CHECK: v_fract_f32_e32 v5, 0xaf123456    ; encoding: [0xff,0x36,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x36,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_fract_f32_e32 v5, 0x3f717273    ; encoding: [0xff,0x36,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x36,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_fract_f32_e64 v5, v1    ; encoding: [0x05,0x00,0x5b,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x5b,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_fract_f32_e64 v255, v1    ; encoding: [0xff,0x00,0x5b,0xd1,0x01,0x01,0x00,0x00]
+0xff,0x00,0x5b,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_fract_f32_e64 v5, v255    ; encoding: [0x05,0x00,0x5b,0xd1,0xff,0x01,0x00,0x00]
+0x05,0x00,0x5b,0xd1,0xff,0x01,0x00,0x00
+
+# CHECK: v_fract_f32_e64 v5, s1    ; encoding: [0x05,0x00,0x5b,0xd1,0x01,0x00,0x00,0x00]
+0x05,0x00,0x5b,0xd1,0x01,0x00,0x00,0x00
+
+# CHECK: v_fract_f32_e64 v5, s101    ; encoding: [0x05,0x00,0x5b,0xd1,0x65,0x00,0x00,0x00]
+0x05,0x00,0x5b,0xd1,0x65,0x00,0x00,0x00
+
+# CHECK: v_fract_f32_e64 v5, flat_scratch_lo    ; encoding: [0x05,0x00,0x5b,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x5b,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_fract_f32_e64 v5, flat_scratch_hi    ; encoding: [0x05,0x00,0x5b,0xd1,0x67,0x00,0x00,0x00]
+0x05,0x00,0x5b,0xd1,0x67,0x00,0x00,0x00
+
+# CHECK: v_fract_f32_e64 v5, vcc_lo    ; encoding: [0x05,0x00,0x5b,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x5b,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_fract_f32_e64 v5, vcc_hi    ; encoding: [0x05,0x00,0x5b,0xd1,0x6b,0x00,0x00,0x00]
+0x05,0x00,0x5b,0xd1,0x6b,0x00,0x00,0x00
+
+# CHECK: v_fract_f32_e64 v5, m0    ; encoding: [0x05,0x00,0x5b,0xd1,0x7c,0x00,0x00,0x00]
+0x05,0x00,0x5b,0xd1,0x7c,0x00,0x00,0x00
+
+# CHECK: v_fract_f32_e64 v5, exec_lo    ; encoding: [0x05,0x00,0x5b,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x5b,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_fract_f32_e64 v5, exec_hi    ; encoding: [0x05,0x00,0x5b,0xd1,0x7f,0x00,0x00,0x00]
+0x05,0x00,0x5b,0xd1,0x7f,0x00,0x00,0x00
+
+# CHECK: v_fract_f32_e64 v5, 0    ; encoding: [0x05,0x00,0x5b,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x5b,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_fract_f32_e64 v5, -1    ; encoding: [0x05,0x00,0x5b,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x5b,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_fract_f32_e64 v5, 0.5    ; encoding: [0x05,0x00,0x5b,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x5b,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_fract_f32_e64 v5, -4.0    ; encoding: [0x05,0x00,0x5b,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x5b,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_fract_f32_e64 v5, -v1    ; encoding: [0x05,0x00,0x5b,0xd1,0x01,0x01,0x00,0x20]
+0x05,0x00,0x5b,0xd1,0x01,0x01,0x00,0x20
+
+# CHECK: v_fract_f32_e64 v5, v1 clamp    ; encoding: [0x05,0x80,0x5b,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x80,0x5b,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_fract_f32_e64 v5, v1 mul:2    ; encoding: [0x05,0x00,0x5b,0xd1,0x01,0x01,0x00,0x08]
+0x05,0x00,0x5b,0xd1,0x01,0x01,0x00,0x08
+
+# CHECK: v_fract_f32_e64 v5, v1 mul:4    ; encoding: [0x05,0x00,0x5b,0xd1,0x01,0x01,0x00,0x10]
+0x05,0x00,0x5b,0xd1,0x01,0x01,0x00,0x10
+
+# CHECK: v_fract_f32_e64 v5, v1 div:2    ; encoding: [0x05,0x00,0x5b,0xd1,0x01,0x01,0x00,0x18]
+0x05,0x00,0x5b,0xd1,0x01,0x01,0x00,0x18
+
+# CHECK: v_trunc_f32_e32 v5, v1    ; encoding: [0x01,0x39,0x0a,0x7e]
+0x01,0x39,0x0a,0x7e
+
+# CHECK: v_trunc_f32_e32 v255, v1    ; encoding: [0x01,0x39,0xfe,0x7f]
+0x01,0x39,0xfe,0x7f
+
+# CHECK: v_trunc_f32_e32 v5, v255    ; encoding: [0xff,0x39,0x0a,0x7e]
+0xff,0x39,0x0a,0x7e
+
+# CHECK: v_trunc_f32_e32 v5, s1    ; encoding: [0x01,0x38,0x0a,0x7e]
+0x01,0x38,0x0a,0x7e
+
+# CHECK: v_trunc_f32_e32 v5, s101    ; encoding: [0x65,0x38,0x0a,0x7e]
+0x65,0x38,0x0a,0x7e
+
+# CHECK: v_trunc_f32_e32 v5, flat_scratch_lo    ; encoding: [0x66,0x38,0x0a,0x7e]
+0x66,0x38,0x0a,0x7e
+
+# CHECK: v_trunc_f32_e32 v5, flat_scratch_hi    ; encoding: [0x67,0x38,0x0a,0x7e]
+0x67,0x38,0x0a,0x7e
+
+# CHECK: v_trunc_f32_e32 v5, vcc_lo    ; encoding: [0x6a,0x38,0x0a,0x7e]
+0x6a,0x38,0x0a,0x7e
+
+# CHECK: v_trunc_f32_e32 v5, vcc_hi    ; encoding: [0x6b,0x38,0x0a,0x7e]
+0x6b,0x38,0x0a,0x7e
+
+# CHECK: v_trunc_f32_e32 v5, m0    ; encoding: [0x7c,0x38,0x0a,0x7e]
+0x7c,0x38,0x0a,0x7e
+
+# CHECK: v_trunc_f32_e32 v5, exec_lo    ; encoding: [0x7e,0x38,0x0a,0x7e]
+0x7e,0x38,0x0a,0x7e
+
+# CHECK: v_trunc_f32_e32 v5, exec_hi    ; encoding: [0x7f,0x38,0x0a,0x7e]
+0x7f,0x38,0x0a,0x7e
+
+# CHECK: v_trunc_f32_e32 v5, 0    ; encoding: [0x80,0x38,0x0a,0x7e]
+0x80,0x38,0x0a,0x7e
+
+# CHECK: v_trunc_f32_e32 v5, -1    ; encoding: [0xc1,0x38,0x0a,0x7e]
+0xc1,0x38,0x0a,0x7e
+
+# CHECK: v_trunc_f32_e32 v5, 0.5    ; encoding: [0xf0,0x38,0x0a,0x7e]
+0xf0,0x38,0x0a,0x7e
+
+# CHECK: v_trunc_f32_e32 v5, -4.0    ; encoding: [0xf7,0x38,0x0a,0x7e]
+0xf7,0x38,0x0a,0x7e
+
+# CHECK: v_trunc_f32_e32 v5, 0xaf123456    ; encoding: [0xff,0x38,0x0a,0x7e,0x56,0x34,0x12,0xaf]
+0xff,0x38,0x0a,0x7e,0x56,0x34,0x12,0xaf
+
+# CHECK: v_trunc_f32_e32 v5, 0x3f717273    ; encoding: [0xff,0x38,0x0a,0x7e,0x73,0x72,0x71,0x3f]
+0xff,0x38,0x0a,0x7e,0x73,0x72,0x71,0x3f
+
+# CHECK: v_trunc_f32_e64 v5, v1    ; encoding: [0x05,0x00,0x5c,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x00,0x5c,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_trunc_f32_e64 v255, v1    ; encoding: [0xff,0x00,0x5c,0xd1,0x01,0x01,0x00,0x00]
+0xff,0x00,0x5c,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_trunc_f32_e64 v5, v255    ; encoding: [0x05,0x00,0x5c,0xd1,0xff,0x01,0x00,0x00]
+0x05,0x00,0x5c,0xd1,0xff,0x01,0x00,0x00
+
+# CHECK: v_trunc_f32_e64 v5, s1    ; encoding: [0x05,0x00,0x5c,0xd1,0x01,0x00,0x00,0x00]
+0x05,0x00,0x5c,0xd1,0x01,0x00,0x00,0x00
+
+# CHECK: v_trunc_f32_e64 v5, s101    ; encoding: [0x05,0x00,0x5c,0xd1,0x65,0x00,0x00,0x00]
+0x05,0x00,0x5c,0xd1,0x65,0x00,0x00,0x00
+
+# CHECK: v_trunc_f32_e64 v5, flat_scratch_lo    ; encoding: [0x05,0x00,0x5c,0xd1,0x66,0x00,0x00,0x00]
+0x05,0x00,0x5c,0xd1,0x66,0x00,0x00,0x00
+
+# CHECK: v_trunc_f32_e64 v5, flat_scratch_hi    ; encoding: [0x05,0x00,0x5c,0xd1,0x67,0x00,0x00,0x00]
+0x05,0x00,0x5c,0xd1,0x67,0x00,0x00,0x00
+
+# CHECK: v_trunc_f32_e64 v5, vcc_lo    ; encoding: [0x05,0x00,0x5c,0xd1,0x6a,0x00,0x00,0x00]
+0x05,0x00,0x5c,0xd1,0x6a,0x00,0x00,0x00
+
+# CHECK: v_trunc_f32_e64 v5, vcc_hi    ; encoding: [0x05,0x00,0x5c,0xd1,0x6b,0x00,0x00,0x00]
+0x05,0x00,0x5c,0xd1,0x6b,0x00,0x00,0x00
+
+# CHECK: v_trunc_f32_e64 v5, m0    ; encoding: [0x05,0x00,0x5c,0xd1,0x7c,0x00,0x00,0x00]
+0x05,0x00,0x5c,0xd1,0x7c,0x00,0x00,0x00
+
+# CHECK: v_trunc_f32_e64 v5, exec_lo    ; encoding: [0x05,0x00,0x5c,0xd1,0x7e,0x00,0x00,0x00]
+0x05,0x00,0x5c,0xd1,0x7e,0x00,0x00,0x00
+
+# CHECK: v_trunc_f32_e64 v5, exec_hi    ; encoding: [0x05,0x00,0x5c,0xd1,0x7f,0x00,0x00,0x00]
+0x05,0x00,0x5c,0xd1,0x7f,0x00,0x00,0x00
+
+# CHECK: v_trunc_f32_e64 v5, 0    ; encoding: [0x05,0x00,0x5c,0xd1,0x80,0x00,0x00,0x00]
+0x05,0x00,0x5c,0xd1,0x80,0x00,0x00,0x00
+
+# CHECK: v_trunc_f32_e64 v5, -1    ; encoding: [0x05,0x00,0x5c,0xd1,0xc1,0x00,0x00,0x00]
+0x05,0x00,0x5c,0xd1,0xc1,0x00,0x00,0x00
+
+# CHECK: v_trunc_f32_e64 v5, 0.5    ; encoding: [0x05,0x00,0x5c,0xd1,0xf0,0x00,0x00,0x00]
+0x05,0x00,0x5c,0xd1,0xf0,0x00,0x00,0x00
+
+# CHECK: v_trunc_f32_e64 v5, -4.0    ; encoding: [0x05,0x00,0x5c,0xd1,0xf7,0x00,0x00,0x00]
+0x05,0x00,0x5c,0xd1,0xf7,0x00,0x00,0x00
+
+# CHECK: v_trunc_f32_e64 v5, -v1    ; encoding: [0x05,0x00,0x5c,0xd1,0x01,0x01,0x00,0x20]
+0x05,0x00,0x5c,0xd1,0x01,0x01,0x00,0x20
+
+# CHECK: v_trunc_f32_e64 v5, v1 clamp    ; encoding: [0x05,0x80,0x5c,0xd1,0x01,0x01,0x00,0x00]
+0x05,0x80,0x5c,0xd1,0x01,0x01,0x00,0x00
+
+# CHECK: v_trunc_f32_e64 v5, v1 mul:2    ; encoding: [0x05,0x00,0x5c,0xd1,0x01,0x01,0x00,0x08]
+0x05,0x00,0x5c,0xd1,0x01,0x01,0x00,0x08
+
+# CHECK: v_trunc_f32_e64 v5, v1 mul:4    ; encoding: [0x05,0x00,0x5c,0xd1,0x01,0x01,0x00,0x10]
+0x05,0x00,0x5c,0xd1,0x01,0x01,0x00,0x10
+
+# CHECK: v_trunc_f32_e64 v5, v1 div:2    ; encoding: [0x05,0x00,0x5c,0xd1,0x01,0x01,0x00,0x18]

[... 149980 lines stripped ...]



More information about the llvm-commits mailing list