[llvm-branch-commits] [llvm-branch] r227188 - pocl and TCE work with LLVM 3.6 now. Added them to the ReleaseNotes.

Pekka Jaaskelainen pekka.jaaskelainen at tut.fi
Tue Jan 27 02:23:06 PST 2015


Author: pjaaskel
Date: Tue Jan 27 04:23:05 2015
New Revision: 227188

URL: http://llvm.org/viewvc/llvm-project?rev=227188&view=rev
Log:
pocl and TCE work with LLVM 3.6 now. Added them to the ReleaseNotes.

Modified:
    llvm/branches/release_36/docs/ReleaseNotes.rst

Modified: llvm/branches/release_36/docs/ReleaseNotes.rst
URL: http://llvm.org/viewvc/llvm-project/llvm/branches/release_36/docs/ReleaseNotes.rst?rev=227188&r1=227187&r2=227188&view=diff
==============================================================================
--- llvm/branches/release_36/docs/ReleaseNotes.rst (original)
+++ llvm/branches/release_36/docs/ReleaseNotes.rst Tue Jan 27 04:23:05 2015
@@ -513,8 +513,35 @@ An exciting aspect of LLVM is that it is
 a lot of other language and tools projects. This section lists some of the
 projects that have already been updated to work with LLVM 3.6.
 
-* A project
+Portable Computing Language (pocl)
+----------------------------------
 
+In addition to producing an easily portable open source OpenCL
+implementation, another major goal of `pocl <http://portablecl.org/>`_
+is improving performance portability of OpenCL programs with
+compiler optimizations, reducing the need for target-dependent manual
+optimizations. An important part of pocl is a set of LLVM passes used to
+statically parallelize multiple work-items with the kernel compiler, even in
+the presence of work-group barriers. This enables static parallelization of
+the fine-grained static concurrency in the work groups in multiple ways. 
+
+TTA-based Co-design Environment (TCE)
+-------------------------------------
+
+`TCE <http://tce.cs.tut.fi/>`_ is a toolset for designing customized
+exposed datapath processors based on the Transport triggered 
+architecture (TTA). 
+
+The toolset provides a complete co-design flow from C/C++
+programs down to synthesizable VHDL/Verilog and parallel program binaries.
+Processor customization points include the register files, function units,
+supported operations, and the interconnection network.
+
+TCE uses Clang and LLVM for C/C++/OpenCL C language support, target independent 
+optimizations and also for parts of code generation. It generates
+new LLVM-based code generators "on the fly" for the designed processors and
+loads them in to the compiler backend as runtime libraries to avoid
+per-target recompilation of larger parts of the compiler chain. 
 
 Additional Information
 ======================





More information about the llvm-branch-commits mailing list