[all-commits] [llvm/llvm-project] 33b604: [OpenMP] Fix linting diagnostics in the linker wra...

Joseph Huber via All-commits all-commits at lists.llvm.org
Fri Apr 15 18:19:57 PDT 2022


  Branch: refs/heads/main
  Home:   https://github.com/llvm/llvm-project
  Commit: 33b604d1c3017a6d09e47384566353efe7bbfe57
      https://github.com/llvm/llvm-project/commit/33b604d1c3017a6d09e47384566353efe7bbfe57
  Author: Joseph Huber <jhuber6 at vols.utk.edu>
  Date:   2022-04-15 (Fri, 15 Apr 2022)

  Changed paths:
    M clang/tools/clang-linker-wrapper/ClangLinkerWrapper.cpp

  Log Message:
  -----------
  [OpenMP] Fix linting diagnostics in the linker wrapper

Summary:
A previous patch had some linter warnings that should've been addressed.




More information about the All-commits mailing list