<div dir="ltr">hi all,<div><br></div><div>i would like insert a fucntion call at the end of each basic bloc</div><div>the fucntion i have defined and declared at the begining of the module</div><div>ie i have written a pass derived from a module pass</div>
<div>virtual bool runOnModule(Module & M){</div><div>// i declared the fucntion and defined it</div><div>for (Module::iterator a = M.begin(), b = M.end(); a != b;</div><div>          for (Function::iterator i = a->begin(), e = a->end(); i != e; ++i) {</div>
<div>          </div><div>        //call the function</div><div>           }</div><div>}                 </div><div><br></div><div>}</div><div>i tried to see an example with the demo, i saw that it instead of calling the function, it repeats all the instruction that are existing in the function</div>
<div>Any help?</div><div> </div></div>