[LLVMdev] GHC, aliases, and LLVM HEAD

Rafael EspĂ­ndola rafael.espindola at gmail.com
Tue Jun 3 17:56:16 PDT 2014


> I think we might be able to relax our restrictions against aliases referring
> to declarations if the alias is private.  If the alias is private, then the
> label never appears in the object file.  The alias is merely a Constant with
> an internal name.  What do you think?

It feels a bit too fuzzy. Some reasons:

* Private does show up on the symbol table if we end up needing a
relocation to it.
* In general, what would it mean to use an alias to undefined on ELF
and COFF? It would be bad to be in a situation where the program works
if the use is optimized out but codegen asserts if it is not.
* It is not clear what it would buy you. With what we have on trunk
there is almost not consistency check until we get to the verifier, so
you can even eagerly create alias and delete aliases you don't need
before running the verifier.

Cheers,
Rafael



More information about the llvm-dev mailing list