[PATCH] D78788: [MLIR] Modify Analysis op conversion mode to emit errors for all unlegalizable operations.

Mehdi AMINI via Phabricator via llvm-commits llvm-commits at lists.llvm.org
Wed Apr 29 23:59:02 PDT 2020


mehdi_amini accepted this revision.
mehdi_amini added inline comments.
This revision is now accepted and ready to land.


================
Comment at: mlir/include/mlir/Transforms/DialectConversion.h:669
+/// If an 'unconvertedOps' set is provided, all operations that are found not
+/// to be legalizable to the given 'target' are placed within that set. (Note
+/// that if there is an op explicitly marked as illegal, the conversion
----------------
Just a nit, but I believe that if you use backticks ` to refer to arguments then doxygen link these.


Repository:
  rG LLVM Github Monorepo

CHANGES SINCE LAST ACTION
  https://reviews.llvm.org/D78788/new/

https://reviews.llvm.org/D78788





More information about the llvm-commits mailing list