[test-suite] r214978 - ABI-Testsuite: Added coll directory

Sunil Srivastava sunil_srivastava at playstation.sony.com
Wed Aug 6 10:32:16 PDT 2014


Added: test-suite/trunk/ABI-Testsuite/test/coll/T_TF010.x
URL: http://llvm.org/viewvc/llvm-project/test-suite/trunk/ABI-Testsuite/test/coll/T_TF010.x?rev=214978&view=auto
==============================================================================
--- test-suite/trunk/ABI-Testsuite/test/coll/T_TF010.x (added)
+++ test-suite/trunk/ABI-Testsuite/test/coll/T_TF010.x Wed Aug  6 12:32:14 2014
@@ -0,0 +1,47718 @@
+// This file is distributed under the University of Illinois Open Source License.
+// See LICENSE.TXT for details.
+// RUN: c_compiler -c -o %t1.o -I "common" "common/testsuite.c"
+// RUN: cxx_compiler cxx_rtti -c %s -I "common" -o %t2.o
+// RUN: c_compiler -c %s -I "common" -o %t3.o
+// RUN: linker -o %t2%exeext  %t1.o %t2.o %t3.o
+// RUN: runtool %t2%exeext | checker "TEST PASSED"
+#include "testsuite.h"
+#ifdef __cplusplus
+
+struct  S938C8_nt  {
+  void  mf938c8(); // _ZN9S938C8_nt7mf938c8Ev
+};
+//SIG(-1 S938C8_nt) C1{ m}
+
+
+void  S938C8_nt ::mf938c8(){}
+
+//skip512 S938C8_nt
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C7_nt  {
+  void *s938c7f0;
+  int s938c7f1;
+  ::S938C8_nt s938c7f2;
+  ::S938C8_nt s938c7f3;
+  void  mf938c7(); // _ZN9S938C7_nt7mf938c7Ev
+};
+//SIG(-1 S938C7_nt) C1{ m Fp Fi FC2{ m} FC2}
+
+
+void  S938C7_nt ::mf938c7(){}
+
+//skip512 S938C7_nt
+
+#else // __cplusplus
+
+Class_Descriptor cd_S938C7_nt = {  "S938C7_nt", // class name
+  0,0,//no base classes
+  0, // no vftv
+  0, //no vtt
+  ABISELECT(16,12), // object size
+  {0,0},0, // typeinfo_var
+  {0,0},0, // virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C6_nt  : S938C7_nt {
+};
+//SIG(-1 S938C6_nt) C1{ BC2{ m Fp Fi FC3{ m} FC3}}
+
+
+
+//skip512 S938C6_nt
+
+#else // __cplusplus
+
+extern Class_Descriptor cd_S938C7_nt;
+static Base_Class bases_S938C6_nt[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S938C7_nt,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    -1, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C5  {
+  void *s938c5f0;
+  int s938c5f1;
+  ::S938C6_nt s938c5f2;
+  ::S938C6_nt s938c5f3;
+  int s938c5f4;
+  virtual  ~S938C5(); // _ZN6S938C5D1Ev
+  virtual void  v66(); // _ZN6S938C53v66Ev
+  virtual void  v67(); // _ZN6S938C53v67Ev
+  virtual void  v68(); // _ZN6S938C53v68Ev
+  virtual void  v69(); // _ZN6S938C53v69Ev
+  virtual void  v70(); // _ZN6S938C53v70Ev
+  virtual void  v71(); // _ZN6S938C53v71Ev
+  virtual void  v72(); // _ZN6S938C53v72Ev
+  virtual void  v73(); // _ZN6S938C53v73Ev
+  virtual void  v74(); // _ZN6S938C53v74Ev
+  virtual void  v75(); // _ZN6S938C53v75Ev
+  virtual void  v76(); // _ZN6S938C53v76Ev
+  virtual void  v77(); // _ZN6S938C53v77Ev
+  virtual void  v78(); // _ZN6S938C53v78Ev
+  virtual void  v79(); // _ZN6S938C53v79Ev
+  virtual void  v80(); // _ZN6S938C53v80Ev
+  virtual void  v81(); // _ZN6S938C53v81Ev
+  virtual void  v82(); // _ZN6S938C53v82Ev
+  virtual void  v83(); // _ZN6S938C53v83Ev
+  virtual void  v84(); // _ZN6S938C53v84Ev
+  virtual void  v85(); // _ZN6S938C53v85Ev
+  virtual void  v86(); // _ZN6S938C53v86Ev
+  virtual void  v87(); // _ZN6S938C53v87Ev
+  virtual void  v88(); // _ZN6S938C53v88Ev
+  S938C5(); // tgen
+};
+//SIG(-1 S938C5) C1{ vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 Fp Fi FC2{ BC3{ m Fp Fi FC4{ m} FC4}} FC2 Fi}
+
+
+ S938C5 ::~S938C5(){ note_dtor("S938C5", this);}
+void  S938C5 ::v66(){vfunc_called(this, "_ZN6S938C53v66Ev");}
+void  S938C5 ::v67(){vfunc_called(this, "_ZN6S938C53v67Ev");}
+void  S938C5 ::v68(){vfunc_called(this, "_ZN6S938C53v68Ev");}
+void  S938C5 ::v69(){vfunc_called(this, "_ZN6S938C53v69Ev");}
+void  S938C5 ::v70(){vfunc_called(this, "_ZN6S938C53v70Ev");}
+void  S938C5 ::v71(){vfunc_called(this, "_ZN6S938C53v71Ev");}
+void  S938C5 ::v72(){vfunc_called(this, "_ZN6S938C53v72Ev");}
+void  S938C5 ::v73(){vfunc_called(this, "_ZN6S938C53v73Ev");}
+void  S938C5 ::v74(){vfunc_called(this, "_ZN6S938C53v74Ev");}
+void  S938C5 ::v75(){vfunc_called(this, "_ZN6S938C53v75Ev");}
+void  S938C5 ::v76(){vfunc_called(this, "_ZN6S938C53v76Ev");}
+void  S938C5 ::v77(){vfunc_called(this, "_ZN6S938C53v77Ev");}
+void  S938C5 ::v78(){vfunc_called(this, "_ZN6S938C53v78Ev");}
+void  S938C5 ::v79(){vfunc_called(this, "_ZN6S938C53v79Ev");}
+void  S938C5 ::v80(){vfunc_called(this, "_ZN6S938C53v80Ev");}
+void  S938C5 ::v81(){vfunc_called(this, "_ZN6S938C53v81Ev");}
+void  S938C5 ::v82(){vfunc_called(this, "_ZN6S938C53v82Ev");}
+void  S938C5 ::v83(){vfunc_called(this, "_ZN6S938C53v83Ev");}
+void  S938C5 ::v84(){vfunc_called(this, "_ZN6S938C53v84Ev");}
+void  S938C5 ::v85(){vfunc_called(this, "_ZN6S938C53v85Ev");}
+void  S938C5 ::v86(){vfunc_called(this, "_ZN6S938C53v86Ev");}
+void  S938C5 ::v87(){vfunc_called(this, "_ZN6S938C53v87Ev");}
+void  S938C5 ::v88(){vfunc_called(this, "_ZN6S938C53v88Ev");}
+S938C5 ::S938C5(){ note_ctor("S938C5", this);} // tgen
+
+static void Test_S938C5()
+{
+  extern Class_Descriptor cd_S938C5;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(9,11)];
+    init_test(&cd_S938C5, buf);
+    S938C5 *dp, &lv = *(dp=new (buf) S938C5());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(64,40), "sizeof(S938C5)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S938C5)");
+    check_field_offset(lv, s938c5f0, ABISELECT(8,4), "S938C5.s938c5f0");
+    check_field_offset(lv, s938c5f1, ABISELECT(16,8), "S938C5.s938c5f1");
+    check_field_offset(lv, s938c5f2, ABISELECT(24,12), "S938C5.s938c5f2");
+    check_field_offset(lv, s938c5f3, ABISELECT(40,24), "S938C5.s938c5f3");
+    check_field_offset(lv, s938c5f4, ABISELECT(56,36), "S938C5.s938c5f4");
+    test_class_info(&lv, &cd_S938C5);
+    dp->~S938C5();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS938C5(Test_S938C5, "S938C5", ABISELECT(64,40));
+
+#else // __cplusplus
+
+extern void _ZN6S938C5C1Ev();
+extern void _ZN6S938C5D1Ev();
+Name_Map name_map_S938C5[] = {
+  NSPAIR(_ZN6S938C5C1Ev),
+  NSPAIR(_ZN6S938C5D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI6S938C5[];
+extern void _ZN6S938C5D1Ev();
+extern void _ZN6S938C5D0Ev();
+extern void _ZN6S938C53v66Ev();
+extern void _ZN6S938C53v67Ev();
+extern void _ZN6S938C53v68Ev();
+extern void _ZN6S938C53v69Ev();
+extern void _ZN6S938C53v70Ev();
+extern void _ZN6S938C53v71Ev();
+extern void _ZN6S938C53v72Ev();
+extern void _ZN6S938C53v73Ev();
+extern void _ZN6S938C53v74Ev();
+extern void _ZN6S938C53v75Ev();
+extern void _ZN6S938C53v76Ev();
+extern void _ZN6S938C53v77Ev();
+extern void _ZN6S938C53v78Ev();
+extern void _ZN6S938C53v79Ev();
+extern void _ZN6S938C53v80Ev();
+extern void _ZN6S938C53v81Ev();
+extern void _ZN6S938C53v82Ev();
+extern void _ZN6S938C53v83Ev();
+extern void _ZN6S938C53v84Ev();
+extern void _ZN6S938C53v85Ev();
+extern void _ZN6S938C53v86Ev();
+extern void _ZN6S938C53v87Ev();
+extern void _ZN6S938C53v88Ev();
+static  VTBL_ENTRY vtc_S938C5[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S938C5[0]),
+  (VTBL_ENTRY)&_ZN6S938C5D1Ev,
+  (VTBL_ENTRY)&_ZN6S938C5D0Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v77Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v78Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v79Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v80Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v81Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v82Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v83Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v84Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v85Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v86Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v87Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v88Ev,
+};
+extern VTBL_ENTRY _ZTI6S938C5[];
+extern  VTBL_ENTRY _ZTV6S938C5[];
+Class_Descriptor cd_S938C5 = {  "S938C5", // class name
+  0,0,//no base classes
+  &(vtc_S938C5[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(64,40), // object size
+  NSPAIRA(_ZTI6S938C5),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV6S938C5),27, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C15_nt  {
+  __tsi64 s938c15f0[2];
+  void  mf938c15(); // _ZN10S938C15_nt8mf938c15Ev
+};
+//SIG(-1 S938C15_nt) C1{ m FL[2]}
+
+
+void  S938C15_nt ::mf938c15(){}
+
+//skip512 S938C15_nt
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C14_nt  {
+  ::S938C15_nt s938c14f0;
+  void  mf938c14(); // _ZN10S938C14_nt8mf938c14Ev
+};
+//SIG(-1 S938C14_nt) C1{ m FC2{ m FL[2]}}
+
+
+void  S938C14_nt ::mf938c14(){}
+
+//skip512 S938C14_nt
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C18  {
+  ::S938C14_nt s938c18f0;
+  void  mf938c18(); // _ZN7S938C188mf938c18Ev
+};
+//SIG(-1 S938C18) C1{ m FC2{ m FC3{ m FL[2]}}}
+
+
+void  S938C18 ::mf938c18(){}
+
+static void Test_S938C18()
+{
+  {
+    init_simple_test("S938C18");
+    S938C18 lv;
+    check2(sizeof(lv), 16, "sizeof(S938C18)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S938C18)");
+    check_field_offset(lv, s938c18f0, 0, "S938C18.s938c18f0");
+  }
+}
+static Arrange_To_Call_Me vS938C18(Test_S938C18, "S938C18", 16);
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C19_nt  {
+  ::S938C14_nt s938c19f0;
+  ::S938C14_nt s938c19f1;
+  ::S938C14_nt s938c19f2;
+  ::S938C14_nt s938c19f3;
+  void  mf938c19(); // _ZN10S938C19_nt8mf938c19Ev
+};
+//SIG(-1 S938C19_nt) C1{ m FC2{ m FC3{ m FL[2]}} FC2 FC2 FC2}
+
+
+void  S938C19_nt ::mf938c19(){}
+
+//skip512 S938C19_nt
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C22  {
+  void  mf938c22(); // _ZN7S938C228mf938c22Ev
+};
+//SIG(-1 S938C22) C1{ m}
+
+
+void  S938C22 ::mf938c22(){}
+
+static void Test_S938C22()
+{
+  {
+    init_simple_test("S938C22");
+    S938C22 lv;
+    check2(sizeof(lv), 1, "sizeof(S938C22)");
+    check2(__alignof__(lv), 1, "__alignof__(S938C22)");
+  }
+}
+static Arrange_To_Call_Me vS938C22(Test_S938C22, "S938C22", 1);
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C21_nt  {
+  void *s938c21f0;
+  int s938c21f1;
+  ::S938C22 s938c21f2;
+  void  mf938c21(); // _ZN10S938C21_nt8mf938c21Ev
+};
+//SIG(-1 S938C21_nt) C1{ m Fp Fi FC2{ m}}
+
+
+void  S938C21_nt ::mf938c21(){}
+
+//skip512 S938C21_nt
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C20_nt  {
+  ::S938C21_nt s938c20f0;
+  int s938c20f1;
+  void  mf938c20(); // _ZN10S938C20_nt8mf938c20Ev
+};
+//SIG(-1 S938C20_nt) C1{ m FC2{ m Fp Fi FC3{ m}} Fi}
+
+
+void  S938C20_nt ::mf938c20(){}
+
+//skip512 S938C20_nt
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C25  {
+  void  mf938c25(); // _ZN7S938C258mf938c25Ev
+};
+//SIG(-1 S938C25) C1{ m}
+
+
+void  S938C25 ::mf938c25(){}
+
+static void Test_S938C25()
+{
+  {
+    init_simple_test("S938C25");
+    S938C25 lv;
+    check2(sizeof(lv), 1, "sizeof(S938C25)");
+    check2(__alignof__(lv), 1, "__alignof__(S938C25)");
+  }
+}
+static Arrange_To_Call_Me vS938C25(Test_S938C25, "S938C25", 1);
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C23  {
+  void *s938c23f0;
+  int s938c23f1;
+  ::S938C22 s938c23f2;
+  ::S938C25 s938c23f3;
+  void  mf938c23(); // _ZN7S938C238mf938c23Ev
+};
+//SIG(-1 S938C23) C1{ m Fp Fi FC2{ m} FC3{ m}}
+
+
+void  S938C23 ::mf938c23(){}
+
+static void Test_S938C23()
+{
+  {
+    init_simple_test("S938C23");
+    S938C23 lv;
+    check2(sizeof(lv), ABISELECT(16,12), "sizeof(S938C23)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S938C23)");
+    check_field_offset(lv, s938c23f0, 0, "S938C23.s938c23f0");
+    check_field_offset(lv, s938c23f1, ABISELECT(8,4), "S938C23.s938c23f1");
+    check_field_offset(lv, s938c23f2, ABISELECT(12,8), "S938C23.s938c23f2");
+    check_field_offset(lv, s938c23f3, ABISELECT(13,9), "S938C23.s938c23f3");
+  }
+}
+static Arrange_To_Call_Me vS938C23(Test_S938C23, "S938C23", ABISELECT(16,12));
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C29  {
+  void  mf938c29(); // _ZN7S938C298mf938c29Ev
+};
+//SIG(-1 S938C29) C1{ m}
+
+
+void  S938C29 ::mf938c29(){}
+
+static void Test_S938C29()
+{
+  {
+    init_simple_test("S938C29");
+    S938C29 lv;
+    check2(sizeof(lv), 1, "sizeof(S938C29)");
+    check2(__alignof__(lv), 1, "__alignof__(S938C29)");
+  }
+}
+static Arrange_To_Call_Me vS938C29(Test_S938C29, "S938C29", 1);
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C27  {
+  void *s938c27f0;
+  int s938c27f1;
+  ::S938C25 s938c27f2;
+  ::S938C29 s938c27f3;
+  void  mf938c27(); // _ZN7S938C278mf938c27Ev
+};
+//SIG(-1 S938C27) C1{ m Fp Fi FC2{ m} FC3{ m}}
+
+
+void  S938C27 ::mf938c27(){}
+
+static void Test_S938C27()
+{
+  {
+    init_simple_test("S938C27");
+    S938C27 lv;
+    check2(sizeof(lv), ABISELECT(16,12), "sizeof(S938C27)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S938C27)");
+    check_field_offset(lv, s938c27f0, 0, "S938C27.s938c27f0");
+    check_field_offset(lv, s938c27f1, ABISELECT(8,4), "S938C27.s938c27f1");
+    check_field_offset(lv, s938c27f2, ABISELECT(12,8), "S938C27.s938c27f2");
+    check_field_offset(lv, s938c27f3, ABISELECT(13,9), "S938C27.s938c27f3");
+  }
+}
+static Arrange_To_Call_Me vS938C27(Test_S938C27, "S938C27", ABISELECT(16,12));
+
+#else // __cplusplus
+
+Class_Descriptor cd_S938C27 = {  "S938C27", // class name
+  0,0,//no base classes
+  0, // no vftv
+  0, //no vtt
+  ABISELECT(16,12), // object size
+  {0,0},0, // typeinfo_var
+  {0,0},0, // virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C26  : S938C27 {
+};
+//SIG(-1 S938C26) C1{ BC2{ m Fp Fi FC3{ m} FC4{ m}}}
+
+
+
+static void Test_S938C26()
+{
+  extern Class_Descriptor cd_S938C26;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(3,4)];
+    init_test(&cd_S938C26, buf);
+    S938C26 &lv = *(new (buf) S938C26());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(16,12), "sizeof(S938C26)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S938C26)");
+    check_base_class_offset(lv, (S938C27*), 0, "S938C26");
+    test_class_info(&lv, &cd_S938C26);
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS938C26(Test_S938C26, "S938C26", ABISELECT(16,12));
+
+#else // __cplusplus
+
+extern Class_Descriptor cd_S938C27;
+static Base_Class bases_S938C26[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S938C27,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    -1, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+Class_Descriptor cd_S938C26 = {  "S938C26", // class name
+  bases_S938C26, 1,
+  0, // no vftv
+  0, //no vtt
+  ABISELECT(16,12), // object size
+  {0,0},0, // typeinfo_var
+  {0,0},0, // virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C30_nt  {
+  void *s938c30f0;
+  int s938c30f1[2];
+  ::S938C29 s938c30f2;
+  void  mf938c30(); // _ZN10S938C30_nt8mf938c30Ev
+};
+//SIG(-1 S938C30_nt) C1{ m Fp Fi[2] FC2{ m}}
+
+
+void  S938C30_nt ::mf938c30(){}
+
+//skip512 S938C30_nt
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C39  {
+  void  mf938c39(); // _ZN7S938C398mf938c39Ev
+};
+//SIG(-1 S938C39) C1{ m}
+
+
+void  S938C39 ::mf938c39(){}
+
+static void Test_S938C39()
+{
+  {
+    init_simple_test("S938C39");
+    S938C39 lv;
+    check2(sizeof(lv), 1, "sizeof(S938C39)");
+    check2(__alignof__(lv), 1, "__alignof__(S938C39)");
+  }
+}
+static Arrange_To_Call_Me vS938C39(Test_S938C39, "S938C39", 1);
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C38  {
+  void *s938c38f0;
+  int s938c38f1[2];
+  ::S938C39 s938c38f2;
+  void  mf938c38(); // _ZN7S938C388mf938c38Ev
+};
+//SIG(-1 S938C38) C1{ m Fp Fi[2] FC2{ m}}
+
+
+void  S938C38 ::mf938c38(){}
+
+static void Test_S938C38()
+{
+  {
+    init_simple_test("S938C38");
+    S938C38 lv;
+    check2(sizeof(lv), ABISELECT(24,16), "sizeof(S938C38)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S938C38)");
+    check_field_offset(lv, s938c38f0, 0, "S938C38.s938c38f0");
+    check_field_offset(lv, s938c38f1, ABISELECT(8,4), "S938C38.s938c38f1");
+    check_field_offset(lv, s938c38f2, ABISELECT(16,12), "S938C38.s938c38f2");
+  }
+}
+static Arrange_To_Call_Me vS938C38(Test_S938C38, "S938C38", ABISELECT(24,16));
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C4  : S938C5 {
+  ::S938C14_nt s938c4f0;
+  ::S938C14_nt s938c4f1;
+  ::S938C14_nt s938c4f2;
+  ::S938C14_nt s938c4f3;
+  ::S938C18 s938c4f4;
+  ::S938C19_nt s938c4f5;
+  ::S938C19_nt s938c4f6;
+  ::S938C14_nt s938c4f7;
+  ::S938C14_nt s938c4f8;
+  ::S938C14_nt s938c4f9;
+  void *s938c4f10;
+  ::S938C18 s938c4f11;
+  ::S938C20_nt s938c4f12;
+  int s938c4f13;
+  void *s938c4f14[7];
+  ::S938C23 s938c4f15;
+  int s938c4f16:8;
+  int s938c4f17:8;
+  int s938c4f18:16;
+  int s938c4f19:16;
+  int s938c4f20:8;
+  int s938c4f21:8;
+  int s938c4f22:8;
+  int s938c4f23:32;
+  int s938c4f24:8;
+  int s938c4f25:4;
+  int s938c4f26:4;
+  int s938c4f27:4;
+  int s938c4f28:4;
+  int s938c4f29:4;
+  int s938c4f30:4;
+  int s938c4f31:4;
+  int s938c4f32:4;
+  int s938c4f33:4;
+  int s938c4f34:4;
+  unsigned char s938c4f35:1;
+  unsigned char s938c4f36:1;
+  unsigned char s938c4f37:1;
+  unsigned char s938c4f38:1;
+  unsigned char s938c4f39:1;
+  unsigned char s938c4f40:1;
+  unsigned char s938c4f41:1;
+  unsigned char s938c4f42:1;
+  unsigned char s938c4f43:1;
+  unsigned char s938c4f44:1;
+  unsigned char s938c4f45:1;
+  unsigned char s938c4f46:1;
+  unsigned char s938c4f47:1;
+  unsigned char s938c4f48:1;
+  unsigned char s938c4f49:1;
+  unsigned char s938c4f50:1;
+  unsigned char s938c4f51:1;
+  unsigned char s938c4f52:1;
+  unsigned char s938c4f53:1;
+  unsigned char s938c4f54:1;
+  unsigned char s938c4f55:1;
+  unsigned char s938c4f56:1;
+  unsigned char s938c4f57:1;
+  unsigned char s938c4f58:1;
+  unsigned char s938c4f59:1;
+  unsigned char s938c4f60:1;
+  unsigned char s938c4f61:1;
+  unsigned char s938c4f62:1;
+  unsigned char s938c4f63:1;
+  unsigned char s938c4f64:1;
+  unsigned char s938c4f65:1;
+  unsigned char s938c4f66:1;
+  unsigned char s938c4f67:1;
+  unsigned char s938c4f68:1;
+  unsigned char s938c4f69:1;
+  unsigned char s938c4f70:1;
+  unsigned char s938c4f71:1;
+  unsigned char s938c4f72:1;
+  unsigned char s938c4f73:1;
+  unsigned char s938c4f74:1;
+  unsigned char s938c4f75:1;
+  int s938c4f76[7];
+  void *s938c4f77[6];
+  int s938c4f78:8;
+  int s938c4f79:8;
+  int s938c4f80:8;
+  int s938c4f81:8;
+  int s938c4f82:8;
+  int s938c4f83:8;
+  ::S938C26 s938c4f84;
+  void *s938c4f85[4];
+  int s938c4f86:8;
+  int s938c4f87:8;
+  int s938c4f88:8;
+  int s938c4f89:8;
+  int s938c4f90:8;
+  int s938c4f91:16;
+  int s938c4f92:16;
+  int s938c4f93:16;
+  int s938c4f94:4;
+  int s938c4f95:4;
+  int s938c4f96:4;
+  int s938c4f97:4;
+  int s938c4f98:4;
+  int s938c4f99:4;
+  int s938c4f100:4;
+  int s938c4f101:4;
+  int s938c4f102:4;
+  int s938c4f103:4;
+  int s938c4f104:4;
+  int s938c4f105:4;
+  int s938c4f106:8;
+  int s938c4f107:8;
+  int s938c4f108:8;
+  void *s938c4f109[7];
+  ::S938C30_nt s938c4f110;
+  void *s938c4f111[2];
+  int s938c4f112:16;
+  int s938c4f113:16;
+  ::S938C26 s938c4f114;
+  ::S938C30_nt s938c4f115;
+  ::S938C38 s938c4f116;
+  void *s938c4f117[2];
+  int s938c4f118:24;
+  int s938c4f119:8;
+  void *s938c4f120;
+  virtual  ~S938C4(); // _ZN6S938C4D1Ev
+  virtual void  v89(); // _ZN6S938C43v89Ev
+  virtual void  v90(); // _ZN6S938C43v90Ev
+  virtual void  v91(); // _ZN6S938C43v91Ev
+  virtual void  v92(); // _ZN6S938C43v92Ev
+  virtual void  v93(); // _ZN6S938C43v93Ev
+  virtual void  v94(); // _ZN6S938C43v94Ev
+  virtual void  v95(); // _ZN6S938C43v95Ev
+  virtual void  v96(); // _ZN6S938C43v96Ev
+  virtual void  v97(); // _ZN6S938C43v97Ev
+  virtual void  v98(); // _ZN6S938C43v98Ev
+  virtual void  v99(); // _ZN6S938C43v99Ev
+  virtual void  v100(); // _ZN6S938C44v100Ev
+  virtual void  v101(); // _ZN6S938C44v101Ev
+  virtual void  v102(); // _ZN6S938C44v102Ev
+  virtual void  v103(); // _ZN6S938C44v103Ev
+  virtual void  v104(); // _ZN6S938C44v104Ev
+  virtual void  v105(); // _ZN6S938C44v105Ev
+  virtual void  v106(); // _ZN6S938C44v106Ev
+  virtual void  v107(); // _ZN6S938C44v107Ev
+  virtual void  v108(); // _ZN6S938C44v108Ev
+  virtual void  v109(); // _ZN6S938C44v109Ev
+  virtual void  v110(); // _ZN6S938C44v110Ev
+  virtual void  v111(); // _ZN6S938C44v111Ev
+  virtual void  v112(); // _ZN6S938C44v112Ev
+  virtual void  v113(); // _ZN6S938C44v113Ev
+  virtual void  v114(); // _ZN6S938C44v114Ev
+  virtual void  v115(); // _ZN6S938C44v115Ev
+  virtual void  v116(); // _ZN6S938C44v116Ev
+  virtual void  v117(); // _ZN6S938C44v117Ev
+  virtual void  v118(); // _ZN6S938C44v118Ev
+  virtual void  v119(); // _ZN6S938C44v119Ev
+  virtual void  v120(); // _ZN6S938C44v120Ev
+  virtual void  v121(); // _ZN6S938C44v121Ev
+  virtual void  v122(); // _ZN6S938C44v122Ev
+  virtual void  v123(); // _ZN6S938C44v123Ev
+  virtual void  v124(); // _ZN6S938C44v124Ev
+  virtual void  v125(); // _ZN6S938C44v125Ev
+  virtual void  v126(); // _ZN6S938C44v126Ev
+  virtual void  v127(); // _ZN6S938C44v127Ev
+  virtual void  v128(); // _ZN6S938C44v128Ev
+  virtual void  v129(); // _ZN6S938C44v129Ev
+  virtual void  v130(); // _ZN6S938C44v130Ev
+  virtual void  v131(); // _ZN6S938C44v131Ev
+  virtual void  v132(); // _ZN6S938C44v132Ev
+  virtual void  v133(); // _ZN6S938C44v133Ev
+  virtual void  v134(); // _ZN6S938C44v134Ev
+  virtual void  v135(); // _ZN6S938C44v135Ev
+  virtual void  v136(); // _ZN6S938C44v136Ev
+  virtual void  v137(); // _ZN6S938C44v137Ev
+  virtual void  v138(); // _ZN6S938C44v138Ev
+  virtual void  v139(); // _ZN6S938C44v139Ev
+  virtual void  v140(); // _ZN6S938C44v140Ev
+  virtual void  v141(); // _ZN6S938C44v141Ev
+  virtual void  v142(); // _ZN6S938C44v142Ev
+  virtual void  v143(); // _ZN6S938C44v143Ev
+  virtual void  v144(); // _ZN6S938C44v144Ev
+  virtual void  v145(); // _ZN6S938C44v145Ev
+  virtual void  v146(); // _ZN6S938C44v146Ev
+  virtual void  v147(); // _ZN6S938C44v147Ev
+  virtual void  v148(); // _ZN6S938C44v148Ev
+  virtual void  v149(); // _ZN6S938C44v149Ev
+  virtual void  v150(); // _ZN6S938C44v150Ev
+  virtual void  v151(); // _ZN6S938C44v151Ev
+  virtual void  v152(); // _ZN6S938C44v152Ev
+  virtual void  v153(); // _ZN6S938C44v153Ev
+  virtual void  v154(); // _ZN6S938C44v154Ev
+  virtual void  v155(); // _ZN6S938C44v155Ev
+  virtual void  v156(); // _ZN6S938C44v156Ev
+  virtual void  v157(); // _ZN6S938C44v157Ev
+  virtual void  v158(); // _ZN6S938C44v158Ev
+  virtual void  v159(); // _ZN6S938C44v159Ev
+  virtual void  v160(); // _ZN6S938C44v160Ev
+  virtual void  v161(); // _ZN6S938C44v161Ev
+  virtual void  v162(); // _ZN6S938C44v162Ev
+  virtual void  v163(); // _ZN6S938C44v163Ev
+  virtual void  v164(); // _ZN6S938C44v164Ev
+  virtual void  v165(); // _ZN6S938C44v165Ev
+  virtual void  v166(); // _ZN6S938C44v166Ev
+  S938C4(); // tgen
+};
+//SIG(-1 S938C4) C1{ BC2{ vd v79 v80 v81 v82 v83 v84 v85 v86 v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 Fp Fi FC3{ BC4{ m Fp Fi FC5{ m} FC5}} FC3 Fi} vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53 v54 v55 v56 v57 v58 v59 v60 v61 v62 v63 v64 v65 v66 v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 v78 FC6{ m FC7{ m FL[2]}} FC6 FC6 FC6 FC8{ m FC6} FC9{ m FC6 FC6 FC6 FC6} FC9 FC6 FC6 FC6 Fp FC8 FC10{ m FC11{ m Fp Fi FC12{ m}} Fi} Fi Fp[7] FC13{ m Fp Fi FC12 FC14{ m}} Fi:8 Fi:8 Fi:16 Fi:16 Fi:8 Fi:8 Fi:8 Fi:32 Fi:8 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fi[7] Fp[6] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 F!
 C15{ BC16
 { m Fp Fi FC14 FC17{ m}}} Fp[4] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:16 Fi:16 Fi:16 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:8 Fi:8 Fi:8 Fp[7] FC18{ m Fp Fi[2] FC17} Fp[2] Fi:16 Fi:16 FC15 FC18 FC19{ m Fp Fi[2] FC20{ m}} Fp[2] Fi:24 Fi:8 Fp}
+
+
+ S938C4 ::~S938C4(){ note_dtor("S938C4", this);}
+void  S938C4 ::v89(){vfunc_called(this, "_ZN6S938C43v89Ev");}
+void  S938C4 ::v90(){vfunc_called(this, "_ZN6S938C43v90Ev");}
+void  S938C4 ::v91(){vfunc_called(this, "_ZN6S938C43v91Ev");}
+void  S938C4 ::v92(){vfunc_called(this, "_ZN6S938C43v92Ev");}
+void  S938C4 ::v93(){vfunc_called(this, "_ZN6S938C43v93Ev");}
+void  S938C4 ::v94(){vfunc_called(this, "_ZN6S938C43v94Ev");}
+void  S938C4 ::v95(){vfunc_called(this, "_ZN6S938C43v95Ev");}
+void  S938C4 ::v96(){vfunc_called(this, "_ZN6S938C43v96Ev");}
+void  S938C4 ::v97(){vfunc_called(this, "_ZN6S938C43v97Ev");}
+void  S938C4 ::v98(){vfunc_called(this, "_ZN6S938C43v98Ev");}
+void  S938C4 ::v99(){vfunc_called(this, "_ZN6S938C43v99Ev");}
+void  S938C4 ::v100(){vfunc_called(this, "_ZN6S938C44v100Ev");}
+void  S938C4 ::v101(){vfunc_called(this, "_ZN6S938C44v101Ev");}
+void  S938C4 ::v102(){vfunc_called(this, "_ZN6S938C44v102Ev");}
+void  S938C4 ::v103(){vfunc_called(this, "_ZN6S938C44v103Ev");}
+void  S938C4 ::v104(){vfunc_called(this, "_ZN6S938C44v104Ev");}
+void  S938C4 ::v105(){vfunc_called(this, "_ZN6S938C44v105Ev");}
+void  S938C4 ::v106(){vfunc_called(this, "_ZN6S938C44v106Ev");}
+void  S938C4 ::v107(){vfunc_called(this, "_ZN6S938C44v107Ev");}
+void  S938C4 ::v108(){vfunc_called(this, "_ZN6S938C44v108Ev");}
+void  S938C4 ::v109(){vfunc_called(this, "_ZN6S938C44v109Ev");}
+void  S938C4 ::v110(){vfunc_called(this, "_ZN6S938C44v110Ev");}
+void  S938C4 ::v111(){vfunc_called(this, "_ZN6S938C44v111Ev");}
+void  S938C4 ::v112(){vfunc_called(this, "_ZN6S938C44v112Ev");}
+void  S938C4 ::v113(){vfunc_called(this, "_ZN6S938C44v113Ev");}
+void  S938C4 ::v114(){vfunc_called(this, "_ZN6S938C44v114Ev");}
+void  S938C4 ::v115(){vfunc_called(this, "_ZN6S938C44v115Ev");}
+void  S938C4 ::v116(){vfunc_called(this, "_ZN6S938C44v116Ev");}
+void  S938C4 ::v117(){vfunc_called(this, "_ZN6S938C44v117Ev");}
+void  S938C4 ::v118(){vfunc_called(this, "_ZN6S938C44v118Ev");}
+void  S938C4 ::v119(){vfunc_called(this, "_ZN6S938C44v119Ev");}
+void  S938C4 ::v120(){vfunc_called(this, "_ZN6S938C44v120Ev");}
+void  S938C4 ::v121(){vfunc_called(this, "_ZN6S938C44v121Ev");}
+void  S938C4 ::v122(){vfunc_called(this, "_ZN6S938C44v122Ev");}
+void  S938C4 ::v123(){vfunc_called(this, "_ZN6S938C44v123Ev");}
+void  S938C4 ::v124(){vfunc_called(this, "_ZN6S938C44v124Ev");}
+void  S938C4 ::v125(){vfunc_called(this, "_ZN6S938C44v125Ev");}
+void  S938C4 ::v126(){vfunc_called(this, "_ZN6S938C44v126Ev");}
+void  S938C4 ::v127(){vfunc_called(this, "_ZN6S938C44v127Ev");}
+void  S938C4 ::v128(){vfunc_called(this, "_ZN6S938C44v128Ev");}
+void  S938C4 ::v129(){vfunc_called(this, "_ZN6S938C44v129Ev");}
+void  S938C4 ::v130(){vfunc_called(this, "_ZN6S938C44v130Ev");}
+void  S938C4 ::v131(){vfunc_called(this, "_ZN6S938C44v131Ev");}
+void  S938C4 ::v132(){vfunc_called(this, "_ZN6S938C44v132Ev");}
+void  S938C4 ::v133(){vfunc_called(this, "_ZN6S938C44v133Ev");}
+void  S938C4 ::v134(){vfunc_called(this, "_ZN6S938C44v134Ev");}
+void  S938C4 ::v135(){vfunc_called(this, "_ZN6S938C44v135Ev");}
+void  S938C4 ::v136(){vfunc_called(this, "_ZN6S938C44v136Ev");}
+void  S938C4 ::v137(){vfunc_called(this, "_ZN6S938C44v137Ev");}
+void  S938C4 ::v138(){vfunc_called(this, "_ZN6S938C44v138Ev");}
+void  S938C4 ::v139(){vfunc_called(this, "_ZN6S938C44v139Ev");}
+void  S938C4 ::v140(){vfunc_called(this, "_ZN6S938C44v140Ev");}
+void  S938C4 ::v141(){vfunc_called(this, "_ZN6S938C44v141Ev");}
+void  S938C4 ::v142(){vfunc_called(this, "_ZN6S938C44v142Ev");}
+void  S938C4 ::v143(){vfunc_called(this, "_ZN6S938C44v143Ev");}
+void  S938C4 ::v144(){vfunc_called(this, "_ZN6S938C44v144Ev");}
+void  S938C4 ::v145(){vfunc_called(this, "_ZN6S938C44v145Ev");}
+void  S938C4 ::v146(){vfunc_called(this, "_ZN6S938C44v146Ev");}
+void  S938C4 ::v147(){vfunc_called(this, "_ZN6S938C44v147Ev");}
+void  S938C4 ::v148(){vfunc_called(this, "_ZN6S938C44v148Ev");}
+void  S938C4 ::v149(){vfunc_called(this, "_ZN6S938C44v149Ev");}
+void  S938C4 ::v150(){vfunc_called(this, "_ZN6S938C44v150Ev");}
+void  S938C4 ::v151(){vfunc_called(this, "_ZN6S938C44v151Ev");}
+void  S938C4 ::v152(){vfunc_called(this, "_ZN6S938C44v152Ev");}
+void  S938C4 ::v153(){vfunc_called(this, "_ZN6S938C44v153Ev");}
+void  S938C4 ::v154(){vfunc_called(this, "_ZN6S938C44v154Ev");}
+void  S938C4 ::v155(){vfunc_called(this, "_ZN6S938C44v155Ev");}
+void  S938C4 ::v156(){vfunc_called(this, "_ZN6S938C44v156Ev");}
+void  S938C4 ::v157(){vfunc_called(this, "_ZN6S938C44v157Ev");}
+void  S938C4 ::v158(){vfunc_called(this, "_ZN6S938C44v158Ev");}
+void  S938C4 ::v159(){vfunc_called(this, "_ZN6S938C44v159Ev");}
+void  S938C4 ::v160(){vfunc_called(this, "_ZN6S938C44v160Ev");}
+void  S938C4 ::v161(){vfunc_called(this, "_ZN6S938C44v161Ev");}
+void  S938C4 ::v162(){vfunc_called(this, "_ZN6S938C44v162Ev");}
+void  S938C4 ::v163(){vfunc_called(this, "_ZN6S938C44v163Ev");}
+void  S938C4 ::v164(){vfunc_called(this, "_ZN6S938C44v164Ev");}
+void  S938C4 ::v165(){vfunc_called(this, "_ZN6S938C44v165Ev");}
+void  S938C4 ::v166(){vfunc_called(this, "_ZN6S938C44v166Ev");}
+S938C4 ::S938C4(){ note_ctor("S938C4", this);} // tgen
+
+static void Test_S938C4()
+{
+  extern Class_Descriptor cd_S938C4;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(105,159)];
+    init_test(&cd_S938C4, buf);
+    S938C4 *dp, &lv = *(dp=new (buf) S938C4());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(832,632), "sizeof(S938C4)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S938C4)");
+    check_base_class_offset(lv, (S938C5*), 0, "S938C4");
+    check_field_offset(lv, s938c4f0, ABISELECT(64,40), "S938C4.s938c4f0");
+    check_field_offset(lv, s938c4f1, ABISELECT(80,56), "S938C4.s938c4f1");
+    check_field_offset(lv, s938c4f2, ABISELECT(96,72), "S938C4.s938c4f2");
+    check_field_offset(lv, s938c4f3, ABISELECT(112,88), "S938C4.s938c4f3");
+    check_field_offset(lv, s938c4f4, ABISELECT(128,104), "S938C4.s938c4f4");
+    check_field_offset(lv, s938c4f5, ABISELECT(144,120), "S938C4.s938c4f5");
+    check_field_offset(lv, s938c4f6, ABISELECT(208,184), "S938C4.s938c4f6");
+    check_field_offset(lv, s938c4f7, ABISELECT(272,248), "S938C4.s938c4f7");
+    check_field_offset(lv, s938c4f8, ABISELECT(288,264), "S938C4.s938c4f8");
+    check_field_offset(lv, s938c4f9, ABISELECT(304,280), "S938C4.s938c4f9");
+    check_field_offset(lv, s938c4f10, ABISELECT(320,296), "S938C4.s938c4f10");
+    check_field_offset(lv, s938c4f11, ABISELECT(328,300), "S938C4.s938c4f11");
+    check_field_offset(lv, s938c4f12, ABISELECT(344,316), "S938C4.s938c4f12");
+    check_field_offset(lv, s938c4f13, ABISELECT(368,332), "S938C4.s938c4f13");
+    check_field_offset(lv, s938c4f14, ABISELECT(376,336), "S938C4.s938c4f14");
+    check_field_offset(lv, s938c4f15, ABISELECT(432,364), "S938C4.s938c4f15");
+    set_bf_and_test(lv, s938c4f16, ABISELECT(448,376), 0, 8, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f16, ABISELECT(448,376), 0, 8, hide_sll(1LL<<7), "S938C4");
+    set_bf_and_test(lv, s938c4f17, ABISELECT(449,377), 0, 8, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f17, ABISELECT(449,377), 0, 8, hide_sll(1LL<<7), "S938C4");
+    set_bf_and_test(lv, s938c4f18, ABISELECT(450,378), 0, 16, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f18, ABISELECT(450,378), 0, 16, hide_sll(1LL<<15), "S938C4");
+    set_bf_and_test(lv, s938c4f19, ABISELECT(452,380), 0, 16, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f19, ABISELECT(452,380), 0, 16, hide_sll(1LL<<15), "S938C4");
+    set_bf_and_test(lv, s938c4f20, ABISELECT(454,382), 0, 8, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f20, ABISELECT(454,382), 0, 8, hide_sll(1LL<<7), "S938C4");
+    set_bf_and_test(lv, s938c4f21, ABISELECT(455,383), 0, 8, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f21, ABISELECT(455,383), 0, 8, hide_sll(1LL<<7), "S938C4");
+    set_bf_and_test(lv, s938c4f22, ABISELECT(456,384), 0, 8, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f22, ABISELECT(456,384), 0, 8, hide_sll(1LL<<7), "S938C4");
+    set_bf_and_test(lv, s938c4f23, ABISELECT(460,388), 0, 32, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f23, ABISELECT(460,388), 0, 32, hide_sll(1LL<<31), "S938C4");
+    set_bf_and_test(lv, s938c4f24, ABISELECT(464,392), 0, 8, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f24, ABISELECT(464,392), 0, 8, hide_sll(1LL<<7), "S938C4");
+    set_bf_and_test(lv, s938c4f25, ABISELECT(465,393), 0, 4, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f25, ABISELECT(465,393), 0, 4, hide_sll(1LL<<3), "S938C4");
+    set_bf_and_test(lv, s938c4f26, ABISELECT(465,393), 4, 4, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f26, ABISELECT(465,393), 4, 4, hide_sll(1LL<<3), "S938C4");
+    set_bf_and_test(lv, s938c4f27, ABISELECT(466,394), 0, 4, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f27, ABISELECT(466,394), 0, 4, hide_sll(1LL<<3), "S938C4");
+    set_bf_and_test(lv, s938c4f28, ABISELECT(466,394), 4, 4, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f28, ABISELECT(466,394), 4, 4, hide_sll(1LL<<3), "S938C4");
+    set_bf_and_test(lv, s938c4f29, ABISELECT(467,395), 0, 4, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f29, ABISELECT(467,395), 0, 4, hide_sll(1LL<<3), "S938C4");
+    set_bf_and_test(lv, s938c4f30, ABISELECT(467,395), 4, 4, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f30, ABISELECT(467,395), 4, 4, hide_sll(1LL<<3), "S938C4");
+    set_bf_and_test(lv, s938c4f31, ABISELECT(468,396), 0, 4, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f31, ABISELECT(468,396), 0, 4, hide_sll(1LL<<3), "S938C4");
+    set_bf_and_test(lv, s938c4f32, ABISELECT(468,396), 4, 4, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f32, ABISELECT(468,396), 4, 4, hide_sll(1LL<<3), "S938C4");
+    set_bf_and_test(lv, s938c4f33, ABISELECT(469,397), 0, 4, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f33, ABISELECT(469,397), 0, 4, hide_sll(1LL<<3), "S938C4");
+    set_bf_and_test(lv, s938c4f34, ABISELECT(469,397), 4, 4, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f34, ABISELECT(469,397), 4, 4, hide_sll(1LL<<3), "S938C4");
+    set_bf_and_test(lv, s938c4f35, ABISELECT(470,398), 0, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f36, ABISELECT(470,398), 1, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f37, ABISELECT(470,398), 2, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f38, ABISELECT(470,398), 3, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f39, ABISELECT(470,398), 4, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f40, ABISELECT(470,398), 5, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f41, ABISELECT(470,398), 6, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f42, ABISELECT(470,398), 7, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f43, ABISELECT(471,399), 0, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f44, ABISELECT(471,399), 1, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f45, ABISELECT(471,399), 2, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f46, ABISELECT(471,399), 3, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f47, ABISELECT(471,399), 4, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f48, ABISELECT(471,399), 5, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f49, ABISELECT(471,399), 6, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f50, ABISELECT(471,399), 7, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f51, ABISELECT(472,400), 0, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f52, ABISELECT(472,400), 1, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f53, ABISELECT(472,400), 2, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f54, ABISELECT(472,400), 3, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f55, ABISELECT(472,400), 4, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f56, ABISELECT(472,400), 5, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f57, ABISELECT(472,400), 6, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f58, ABISELECT(472,400), 7, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f59, ABISELECT(473,401), 0, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f60, ABISELECT(473,401), 1, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f61, ABISELECT(473,401), 2, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f62, ABISELECT(473,401), 3, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f63, ABISELECT(473,401), 4, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f64, ABISELECT(473,401), 5, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f65, ABISELECT(473,401), 6, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f66, ABISELECT(473,401), 7, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f67, ABISELECT(474,402), 0, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f68, ABISELECT(474,402), 1, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f69, ABISELECT(474,402), 2, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f70, ABISELECT(474,402), 3, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f71, ABISELECT(474,402), 4, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f72, ABISELECT(474,402), 5, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f73, ABISELECT(474,402), 6, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f74, ABISELECT(474,402), 7, 1, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f75, ABISELECT(475,403), 0, 1, 1, "S938C4");
+    check_field_offset(lv, s938c4f76, ABISELECT(476,404), "S938C4.s938c4f76");
+    check_field_offset(lv, s938c4f77, ABISELECT(504,432), "S938C4.s938c4f77");
+    set_bf_and_test(lv, s938c4f78, ABISELECT(552,456), 0, 8, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f78, ABISELECT(552,456), 0, 8, hide_sll(1LL<<7), "S938C4");
+    set_bf_and_test(lv, s938c4f79, ABISELECT(553,457), 0, 8, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f79, ABISELECT(553,457), 0, 8, hide_sll(1LL<<7), "S938C4");
+    set_bf_and_test(lv, s938c4f80, ABISELECT(554,458), 0, 8, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f80, ABISELECT(554,458), 0, 8, hide_sll(1LL<<7), "S938C4");
+    set_bf_and_test(lv, s938c4f81, ABISELECT(555,459), 0, 8, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f81, ABISELECT(555,459), 0, 8, hide_sll(1LL<<7), "S938C4");
+    set_bf_and_test(lv, s938c4f82, ABISELECT(556,460), 0, 8, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f82, ABISELECT(556,460), 0, 8, hide_sll(1LL<<7), "S938C4");
+    set_bf_and_test(lv, s938c4f83, ABISELECT(557,461), 0, 8, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f83, ABISELECT(557,461), 0, 8, hide_sll(1LL<<7), "S938C4");
+    check_field_offset(lv, s938c4f84, ABISELECT(560,464), "S938C4.s938c4f84");
+    check_field_offset(lv, s938c4f85, ABISELECT(576,476), "S938C4.s938c4f85");
+    set_bf_and_test(lv, s938c4f86, ABISELECT(608,492), 0, 8, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f86, ABISELECT(608,492), 0, 8, hide_sll(1LL<<7), "S938C4");
+    set_bf_and_test(lv, s938c4f87, ABISELECT(609,493), 0, 8, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f87, ABISELECT(609,493), 0, 8, hide_sll(1LL<<7), "S938C4");
+    set_bf_and_test(lv, s938c4f88, ABISELECT(610,494), 0, 8, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f88, ABISELECT(610,494), 0, 8, hide_sll(1LL<<7), "S938C4");
+    set_bf_and_test(lv, s938c4f89, ABISELECT(611,495), 0, 8, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f89, ABISELECT(611,495), 0, 8, hide_sll(1LL<<7), "S938C4");
+    set_bf_and_test(lv, s938c4f90, ABISELECT(612,496), 0, 8, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f90, ABISELECT(612,496), 0, 8, hide_sll(1LL<<7), "S938C4");
+    set_bf_and_test(lv, s938c4f91, ABISELECT(613,497), 0, 16, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f91, ABISELECT(613,497), 0, 16, hide_sll(1LL<<15), "S938C4");
+    set_bf_and_test(lv, s938c4f92, ABISELECT(616,500), 0, 16, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f92, ABISELECT(616,500), 0, 16, hide_sll(1LL<<15), "S938C4");
+    set_bf_and_test(lv, s938c4f93, ABISELECT(618,502), 0, 16, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f93, ABISELECT(618,502), 0, 16, hide_sll(1LL<<15), "S938C4");
+    set_bf_and_test(lv, s938c4f94, ABISELECT(620,504), 0, 4, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f94, ABISELECT(620,504), 0, 4, hide_sll(1LL<<3), "S938C4");
+    set_bf_and_test(lv, s938c4f95, ABISELECT(620,504), 4, 4, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f95, ABISELECT(620,504), 4, 4, hide_sll(1LL<<3), "S938C4");
+    set_bf_and_test(lv, s938c4f96, ABISELECT(621,505), 0, 4, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f96, ABISELECT(621,505), 0, 4, hide_sll(1LL<<3), "S938C4");
+    set_bf_and_test(lv, s938c4f97, ABISELECT(621,505), 4, 4, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f97, ABISELECT(621,505), 4, 4, hide_sll(1LL<<3), "S938C4");
+    set_bf_and_test(lv, s938c4f98, ABISELECT(622,506), 0, 4, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f98, ABISELECT(622,506), 0, 4, hide_sll(1LL<<3), "S938C4");
+    set_bf_and_test(lv, s938c4f99, ABISELECT(622,506), 4, 4, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f99, ABISELECT(622,506), 4, 4, hide_sll(1LL<<3), "S938C4");
+    set_bf_and_test(lv, s938c4f100, ABISELECT(623,507), 0, 4, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f100, ABISELECT(623,507), 0, 4, hide_sll(1LL<<3), "S938C4");
+    set_bf_and_test(lv, s938c4f101, ABISELECT(623,507), 4, 4, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f101, ABISELECT(623,507), 4, 4, hide_sll(1LL<<3), "S938C4");
+    set_bf_and_test(lv, s938c4f102, ABISELECT(624,508), 0, 4, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f102, ABISELECT(624,508), 0, 4, hide_sll(1LL<<3), "S938C4");
+    set_bf_and_test(lv, s938c4f103, ABISELECT(624,508), 4, 4, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f103, ABISELECT(624,508), 4, 4, hide_sll(1LL<<3), "S938C4");
+    set_bf_and_test(lv, s938c4f104, ABISELECT(625,509), 0, 4, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f104, ABISELECT(625,509), 0, 4, hide_sll(1LL<<3), "S938C4");
+    set_bf_and_test(lv, s938c4f105, ABISELECT(625,509), 4, 4, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f105, ABISELECT(625,509), 4, 4, hide_sll(1LL<<3), "S938C4");
+    set_bf_and_test(lv, s938c4f106, ABISELECT(626,510), 0, 8, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f106, ABISELECT(626,510), 0, 8, hide_sll(1LL<<7), "S938C4");
+    set_bf_and_test(lv, s938c4f107, ABISELECT(627,511), 0, 8, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f107, ABISELECT(627,511), 0, 8, hide_sll(1LL<<7), "S938C4");
+    set_bf_and_test(lv, s938c4f108, ABISELECT(628,512), 0, 8, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f108, ABISELECT(628,512), 0, 8, hide_sll(1LL<<7), "S938C4");
+    check_field_offset(lv, s938c4f109, ABISELECT(632,516), "S938C4.s938c4f109");
+    check_field_offset(lv, s938c4f110, ABISELECT(688,544), "S938C4.s938c4f110");
+    check_field_offset(lv, s938c4f111, ABISELECT(712,560), "S938C4.s938c4f111");
+    set_bf_and_test(lv, s938c4f112, ABISELECT(728,568), 0, 16, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f112, ABISELECT(728,568), 0, 16, hide_sll(1LL<<15), "S938C4");
+    set_bf_and_test(lv, s938c4f113, ABISELECT(730,570), 0, 16, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f113, ABISELECT(730,570), 0, 16, hide_sll(1LL<<15), "S938C4");
+    check_field_offset(lv, s938c4f114, ABISELECT(736,572), "S938C4.s938c4f114");
+    check_field_offset(lv, s938c4f115, ABISELECT(752,584), "S938C4.s938c4f115");
+    check_field_offset(lv, s938c4f116, ABISELECT(776,600), "S938C4.s938c4f116");
+    check_field_offset(lv, s938c4f117, ABISELECT(800,616), "S938C4.s938c4f117");
+    set_bf_and_test(lv, s938c4f118, ABISELECT(816,624), 0, 24, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f118, ABISELECT(816,624), 0, 24, hide_sll(1LL<<23), "S938C4");
+    set_bf_and_test(lv, s938c4f119, ABISELECT(819,627), 0, 8, 1, "S938C4");
+    set_bf_and_test(lv, s938c4f119, ABISELECT(819,627), 0, 8, hide_sll(1LL<<7), "S938C4");
+    check_field_offset(lv, s938c4f120, ABISELECT(824,628), "S938C4.s938c4f120");
+    test_class_info(&lv, &cd_S938C4);
+    dp->~S938C4();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS938C4(Test_S938C4, "S938C4", ABISELECT(832,632));
+
+#else // __cplusplus
+
+extern void _ZN6S938C4C1Ev();
+extern void _ZN6S938C4D1Ev();
+Name_Map name_map_S938C4[] = {
+  NSPAIR(_ZN6S938C4C1Ev),
+  NSPAIR(_ZN6S938C4D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S938C5;
+extern VTBL_ENTRY _ZTI6S938C5[];
+extern  VTBL_ENTRY _ZTV6S938C5[];
+static Base_Class bases_S938C4[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S938C5,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI6S938C4[];
+extern void _ZN6S938C4D1Ev();
+extern void _ZN6S938C4D0Ev();
+extern void _ZN6S938C53v66Ev();
+extern void _ZN6S938C53v67Ev();
+extern void _ZN6S938C53v68Ev();
+extern void _ZN6S938C53v69Ev();
+extern void _ZN6S938C53v70Ev();
+extern void _ZN6S938C53v71Ev();
+extern void _ZN6S938C53v72Ev();
+extern void _ZN6S938C53v73Ev();
+extern void _ZN6S938C53v74Ev();
+extern void _ZN6S938C53v75Ev();
+extern void _ZN6S938C53v76Ev();
+extern void _ZN6S938C53v77Ev();
+extern void _ZN6S938C53v78Ev();
+extern void _ZN6S938C53v79Ev();
+extern void _ZN6S938C53v80Ev();
+extern void _ZN6S938C53v81Ev();
+extern void _ZN6S938C53v82Ev();
+extern void _ZN6S938C53v83Ev();
+extern void _ZN6S938C53v84Ev();
+extern void _ZN6S938C53v85Ev();
+extern void _ZN6S938C53v86Ev();
+extern void _ZN6S938C53v87Ev();
+extern void _ZN6S938C53v88Ev();
+extern void _ZN6S938C43v89Ev();
+extern void _ZN6S938C43v90Ev();
+extern void _ZN6S938C43v91Ev();
+extern void _ZN6S938C43v92Ev();
+extern void _ZN6S938C43v93Ev();
+extern void _ZN6S938C43v94Ev();
+extern void _ZN6S938C43v95Ev();
+extern void _ZN6S938C43v96Ev();
+extern void _ZN6S938C43v97Ev();
+extern void _ZN6S938C43v98Ev();
+extern void _ZN6S938C43v99Ev();
+extern void _ZN6S938C44v100Ev();
+extern void _ZN6S938C44v101Ev();
+extern void _ZN6S938C44v102Ev();
+extern void _ZN6S938C44v103Ev();
+extern void _ZN6S938C44v104Ev();
+extern void _ZN6S938C44v105Ev();
+extern void _ZN6S938C44v106Ev();
+extern void _ZN6S938C44v107Ev();
+extern void _ZN6S938C44v108Ev();
+extern void _ZN6S938C44v109Ev();
+extern void _ZN6S938C44v110Ev();
+extern void _ZN6S938C44v111Ev();
+extern void _ZN6S938C44v112Ev();
+extern void _ZN6S938C44v113Ev();
+extern void _ZN6S938C44v114Ev();
+extern void _ZN6S938C44v115Ev();
+extern void _ZN6S938C44v116Ev();
+extern void _ZN6S938C44v117Ev();
+extern void _ZN6S938C44v118Ev();
+extern void _ZN6S938C44v119Ev();
+extern void _ZN6S938C44v120Ev();
+extern void _ZN6S938C44v121Ev();
+extern void _ZN6S938C44v122Ev();
+extern void _ZN6S938C44v123Ev();
+extern void _ZN6S938C44v124Ev();
+extern void _ZN6S938C44v125Ev();
+extern void _ZN6S938C44v126Ev();
+extern void _ZN6S938C44v127Ev();
+extern void _ZN6S938C44v128Ev();
+extern void _ZN6S938C44v129Ev();
+extern void _ZN6S938C44v130Ev();
+extern void _ZN6S938C44v131Ev();
+extern void _ZN6S938C44v132Ev();
+extern void _ZN6S938C44v133Ev();
+extern void _ZN6S938C44v134Ev();
+extern void _ZN6S938C44v135Ev();
+extern void _ZN6S938C44v136Ev();
+extern void _ZN6S938C44v137Ev();
+extern void _ZN6S938C44v138Ev();
+extern void _ZN6S938C44v139Ev();
+extern void _ZN6S938C44v140Ev();
+extern void _ZN6S938C44v141Ev();
+extern void _ZN6S938C44v142Ev();
+extern void _ZN6S938C44v143Ev();
+extern void _ZN6S938C44v144Ev();
+extern void _ZN6S938C44v145Ev();
+extern void _ZN6S938C44v146Ev();
+extern void _ZN6S938C44v147Ev();
+extern void _ZN6S938C44v148Ev();
+extern void _ZN6S938C44v149Ev();
+extern void _ZN6S938C44v150Ev();
+extern void _ZN6S938C44v151Ev();
+extern void _ZN6S938C44v152Ev();
+extern void _ZN6S938C44v153Ev();
+extern void _ZN6S938C44v154Ev();
+extern void _ZN6S938C44v155Ev();
+extern void _ZN6S938C44v156Ev();
+extern void _ZN6S938C44v157Ev();
+extern void _ZN6S938C44v158Ev();
+extern void _ZN6S938C44v159Ev();
+extern void _ZN6S938C44v160Ev();
+extern void _ZN6S938C44v161Ev();
+extern void _ZN6S938C44v162Ev();
+extern void _ZN6S938C44v163Ev();
+extern void _ZN6S938C44v164Ev();
+extern void _ZN6S938C44v165Ev();
+extern void _ZN6S938C44v166Ev();
+static  VTBL_ENTRY vtc_S938C4[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S938C4[0]),
+  (VTBL_ENTRY)&_ZN6S938C4D1Ev,
+  (VTBL_ENTRY)&_ZN6S938C4D0Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v77Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v78Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v79Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v80Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v81Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v82Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v83Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v84Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v85Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v86Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v87Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v88Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v89Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v90Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v91Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v92Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v93Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v94Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v95Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v96Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v97Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v98Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v99Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v100Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v101Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v102Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v103Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v104Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v105Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v106Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v107Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v108Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v109Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v110Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v111Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v112Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v113Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v114Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v115Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v116Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v117Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v118Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v119Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v120Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v121Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v122Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v123Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v124Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v125Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v126Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v127Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v128Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v129Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v130Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v131Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v132Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v133Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v134Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v135Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v136Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v137Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v138Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v139Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v140Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v141Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v142Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v143Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v144Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v145Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v146Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v147Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v148Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v149Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v150Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v151Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v152Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v153Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v154Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v155Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v156Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v157Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v158Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v159Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v160Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v161Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v162Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v163Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v164Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v165Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v166Ev,
+};
+extern VTBL_ENTRY _ZTI6S938C4[];
+extern  VTBL_ENTRY _ZTV6S938C4[];
+Class_Descriptor cd_S938C4 = {  "S938C4", // class name
+  bases_S938C4, 1,
+  &(vtc_S938C4[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(832,632), // object size
+  NSPAIRA(_ZTI6S938C4),ABISELECT(24,12), //typeinfo_var
+  NSPAIRA(_ZTV6S938C4),105, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  1, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C41_nt  {
+  __tsi64 s938c41f0;
+  void  mf938c41(); // _ZN10S938C41_nt8mf938c41Ev
+};
+//SIG(-1 S938C41_nt) C1{ m FL}
+
+
+void  S938C41_nt ::mf938c41(){}
+
+//skip512 S938C41_nt
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C40_nt  {
+  ::S938C41_nt s938c40f0;
+  void  mf938c40(); // _ZN10S938C40_nt8mf938c40Ev
+};
+//SIG(-1 S938C40_nt) C1{ m FC2{ m FL}}
+
+
+void  S938C40_nt ::mf938c40(){}
+
+//skip512 S938C40_nt
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C3  : S938C4 {
+  int s938c3f0[2];
+  ::S938C40_nt s938c3f1;
+  void *s938c3f2[4];
+  ::S938C14_nt s938c3f3;
+  ::S938C14_nt s938c3f4;
+  ::S938C14_nt s938c3f5;
+  ::S938C40_nt s938c3f6;
+  ::S938C14_nt s938c3f7;
+  ::S938C40_nt s938c3f8;
+  ::S938C40_nt s938c3f9;
+  ::S938C14_nt s938c3f10;
+  ::S938C14_nt s938c3f11;
+  ::S938C14_nt s938c3f12;
+  ::S938C40_nt s938c3f13;
+  ::S938C14_nt s938c3f14;
+  ::S938C14_nt s938c3f15;
+  int s938c3f16[7];
+  ::S938C18 s938c3f17;
+  ::S938C40_nt s938c3f18;
+  ::S938C40_nt s938c3f19;
+  ::S938C40_nt s938c3f20;
+  void *s938c3f21;
+  int s938c3f22;
+  void *s938c3f23[4];
+  char s938c3f24[2];
+  ::S938C23 s938c3f25;
+  int s938c3f26[8];
+  char s938c3f27[6];
+  int s938c3f28;
+  char s938c3f29;
+  int s938c3f30[2];
+  char s938c3f31[2];
+  virtual  ~S938C3(); // _ZN6S938C3D1Ev
+  virtual void  v167(); // _ZN6S938C34v167Ev
+  virtual void  v168(); // _ZN6S938C34v168Ev
+  virtual void  v169(); // _ZN6S938C34v169Ev
+  virtual void  v170(); // _ZN6S938C34v170Ev
+  virtual void  v171(); // _ZN6S938C34v171Ev
+  virtual void  v172(); // _ZN6S938C34v172Ev
+  virtual void  v173(); // _ZN6S938C34v173Ev
+  virtual void  v174(); // _ZN6S938C34v174Ev
+  virtual void  v175(); // _ZN6S938C34v175Ev
+  virtual void  v176(); // _ZN6S938C34v176Ev
+  virtual void  v177(); // _ZN6S938C34v177Ev
+  virtual void  v178(); // _ZN6S938C34v178Ev
+  virtual void  v179(); // _ZN6S938C34v179Ev
+  virtual void  v180(); // _ZN6S938C34v180Ev
+  virtual void  v181(); // _ZN6S938C34v181Ev
+  virtual void  v182(); // _ZN6S938C34v182Ev
+  virtual void  v183(); // _ZN6S938C34v183Ev
+  virtual void  v184(); // _ZN6S938C34v184Ev
+  virtual void  v185(); // _ZN6S938C34v185Ev
+  virtual void  v186(); // _ZN6S938C34v186Ev
+  virtual void  v187(); // _ZN6S938C34v187Ev
+  virtual void  v188(); // _ZN6S938C34v188Ev
+  virtual void  v189(); // _ZN6S938C34v189Ev
+  virtual void  v190(); // _ZN6S938C34v190Ev
+  virtual void  v191(); // _ZN6S938C34v191Ev
+  virtual void  v192(); // _ZN6S938C34v192Ev
+  virtual void  v193(); // _ZN6S938C34v193Ev
+  virtual void  v194(); // _ZN6S938C34v194Ev
+  virtual void  v195(); // _ZN6S938C34v195Ev
+  virtual void  v196(); // _ZN6S938C34v196Ev
+  virtual void  v197(); // _ZN6S938C34v197Ev
+  virtual void  v198(); // _ZN6S938C34v198Ev
+  virtual void  v199(); // _ZN6S938C34v199Ev
+  virtual void  v200(); // _ZN6S938C34v200Ev
+  virtual void  v201(); // _ZN6S938C34v201Ev
+  virtual void  v202(); // _ZN6S938C34v202Ev
+  virtual void  v203(); // _ZN6S938C34v203Ev
+  virtual void  v204(); // _ZN6S938C34v204Ev
+  virtual void  v205(); // _ZN6S938C34v205Ev
+  virtual void  v206(); // _ZN6S938C34v206Ev
+  virtual void  v207(); // _ZN6S938C34v207Ev
+  virtual void  v208(); // _ZN6S938C34v208Ev
+  virtual void  v209(); // _ZN6S938C34v209Ev
+  virtual void  v210(); // _ZN6S938C34v210Ev
+  virtual void  v211(); // _ZN6S938C34v211Ev
+  virtual void  v212(); // _ZN6S938C34v212Ev
+  virtual void  v213(); // _ZN6S938C34v213Ev
+  virtual void  v214(); // _ZN6S938C34v214Ev
+  virtual void  v215(); // _ZN6S938C34v215Ev
+  virtual void  v216(); // _ZN6S938C34v216Ev
+  virtual void  v217(); // _ZN6S938C34v217Ev
+  virtual void  v218(); // _ZN6S938C34v218Ev
+  virtual void  v219(); // _ZN6S938C34v219Ev
+  virtual void  v220(); // _ZN6S938C34v220Ev
+  virtual void  v221(); // _ZN6S938C34v221Ev
+  virtual void  v222(); // _ZN6S938C34v222Ev
+  virtual void  v223(); // _ZN6S938C34v223Ev
+  virtual void  v224(); // _ZN6S938C34v224Ev
+  virtual void  v225(); // _ZN6S938C34v225Ev
+  virtual void  v226(); // _ZN6S938C34v226Ev
+  virtual void  v227(); // _ZN6S938C34v227Ev
+  virtual void  v228(); // _ZN6S938C34v228Ev
+  virtual void  v229(); // _ZN6S938C34v229Ev
+  virtual void  v230(); // _ZN6S938C34v230Ev
+  virtual void  v231(); // _ZN6S938C34v231Ev
+  virtual void  v232(); // _ZN6S938C34v232Ev
+  virtual void  v233(); // _ZN6S938C34v233Ev
+  virtual void  v234(); // _ZN6S938C34v234Ev
+  virtual void  v235(); // _ZN6S938C34v235Ev
+  virtual void  v236(); // _ZN6S938C34v236Ev
+  virtual void  v237(); // _ZN6S938C34v237Ev
+  virtual void  v238(); // _ZN6S938C34v238Ev
+  virtual void  v239(); // _ZN6S938C34v239Ev
+  virtual void  v240(); // _ZN6S938C34v240Ev
+  virtual void  v241(); // _ZN6S938C34v241Ev
+  virtual void  v242(); // _ZN6S938C34v242Ev
+  virtual void  v243(); // _ZN6S938C34v243Ev
+  virtual void  v244(); // _ZN6S938C34v244Ev
+  virtual void  v245(); // _ZN6S938C34v245Ev
+  virtual void  v246(); // _ZN6S938C34v246Ev
+  virtual void  v247(); // _ZN6S938C34v247Ev
+  virtual void  v248(); // _ZN6S938C34v248Ev
+  virtual void  v249(); // _ZN6S938C34v249Ev
+  virtual void  v250(); // _ZN6S938C34v250Ev
+  virtual void  v251(); // _ZN6S938C34v251Ev
+  virtual void  v252(); // _ZN6S938C34v252Ev
+  S938C3(); // tgen
+};
+//SIG(-1 S938C3) C1{ BC2{ BC3{ vd v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 v102 v103 v104 v105 v106 v107 v108 v109 Fp Fi FC4{ BC5{ m Fp Fi FC6{ m} FC6}} FC4 Fi} vd v110 v111 v112 v113 v114 v115 v116 v117 v118 v119 v120 v121 v122 v123 v124 v125 v126 v127 v128 v129 v130 v131 v132 v133 v134 v135 v136 v137 v138 v139 v140 v141 v142 v143 v144 v145 v146 v147 v148 v149 v150 v151 v152 v153 v154 v155 v156 v157 v158 v159 v160 v161 v162 v163 v164 v165 v166 v167 v168 v169 v170 v171 v172 v173 v174 v175 v176 v177 v178 v179 v180 v181 v182 v183 v184 v185 v186 v187 FC7{ m FC8{ m FL[2]}} FC7 FC7 FC7 FC9{ m FC7} FC10{ m FC7 FC7 FC7 FC7} FC10 FC7 FC7 FC7 Fp FC9 FC11{ m FC12{ m Fp Fi FC13{ m}} Fi} Fi Fp[7] FC14{ m Fp Fi FC13 FC15{ m}} Fi:8 Fi:8 Fi:16 Fi:16 Fi:8 Fi:8 Fi:8 Fi:32 Fi:8 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 F!
 c:1 Fc:1 
 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fi[7] Fp[6] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 FC16{ BC17{ m Fp Fi FC15 FC18{ m}}} Fp[4] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:16 Fi:16 Fi:16 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:8 Fi:8 Fi:8 Fp[7] FC19{ m Fp Fi[2] FC18} Fp[2] Fi:16 Fi:16 FC16 FC19 FC20{ m Fp Fi[2] FC21{ m}} Fp[2] Fi:24 Fi:8 Fp} vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53 v54 v55 v56 v57 v58 v59 v60 v61 v62 v63 v64 v65 v66 v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 v78 v79 v80 v81 v82 v83 v84 v85 v86 Fi[2] FC22{ m FC23{ m FL}} Fp[4] FC7 FC7 FC7 FC22 FC7 FC22 FC22 FC7 FC7 FC7 FC22 FC7 FC7 Fi[7] FC9 FC22 FC22 FC22 Fp Fi Fp[4] Fc[2] FC14 Fi[8] Fc[6] Fi Fc Fi[2] Fc[2]}
+
+
+ S938C3 ::~S938C3(){ note_dtor("S938C3", this);}
+void  S938C3 ::v167(){vfunc_called(this, "_ZN6S938C34v167Ev");}
+void  S938C3 ::v168(){vfunc_called(this, "_ZN6S938C34v168Ev");}
+void  S938C3 ::v169(){vfunc_called(this, "_ZN6S938C34v169Ev");}
+void  S938C3 ::v170(){vfunc_called(this, "_ZN6S938C34v170Ev");}
+void  S938C3 ::v171(){vfunc_called(this, "_ZN6S938C34v171Ev");}
+void  S938C3 ::v172(){vfunc_called(this, "_ZN6S938C34v172Ev");}
+void  S938C3 ::v173(){vfunc_called(this, "_ZN6S938C34v173Ev");}
+void  S938C3 ::v174(){vfunc_called(this, "_ZN6S938C34v174Ev");}
+void  S938C3 ::v175(){vfunc_called(this, "_ZN6S938C34v175Ev");}
+void  S938C3 ::v176(){vfunc_called(this, "_ZN6S938C34v176Ev");}
+void  S938C3 ::v177(){vfunc_called(this, "_ZN6S938C34v177Ev");}
+void  S938C3 ::v178(){vfunc_called(this, "_ZN6S938C34v178Ev");}
+void  S938C3 ::v179(){vfunc_called(this, "_ZN6S938C34v179Ev");}
+void  S938C3 ::v180(){vfunc_called(this, "_ZN6S938C34v180Ev");}
+void  S938C3 ::v181(){vfunc_called(this, "_ZN6S938C34v181Ev");}
+void  S938C3 ::v182(){vfunc_called(this, "_ZN6S938C34v182Ev");}
+void  S938C3 ::v183(){vfunc_called(this, "_ZN6S938C34v183Ev");}
+void  S938C3 ::v184(){vfunc_called(this, "_ZN6S938C34v184Ev");}
+void  S938C3 ::v185(){vfunc_called(this, "_ZN6S938C34v185Ev");}
+void  S938C3 ::v186(){vfunc_called(this, "_ZN6S938C34v186Ev");}
+void  S938C3 ::v187(){vfunc_called(this, "_ZN6S938C34v187Ev");}
+void  S938C3 ::v188(){vfunc_called(this, "_ZN6S938C34v188Ev");}
+void  S938C3 ::v189(){vfunc_called(this, "_ZN6S938C34v189Ev");}
+void  S938C3 ::v190(){vfunc_called(this, "_ZN6S938C34v190Ev");}
+void  S938C3 ::v191(){vfunc_called(this, "_ZN6S938C34v191Ev");}
+void  S938C3 ::v192(){vfunc_called(this, "_ZN6S938C34v192Ev");}
+void  S938C3 ::v193(){vfunc_called(this, "_ZN6S938C34v193Ev");}
+void  S938C3 ::v194(){vfunc_called(this, "_ZN6S938C34v194Ev");}
+void  S938C3 ::v195(){vfunc_called(this, "_ZN6S938C34v195Ev");}
+void  S938C3 ::v196(){vfunc_called(this, "_ZN6S938C34v196Ev");}
+void  S938C3 ::v197(){vfunc_called(this, "_ZN6S938C34v197Ev");}
+void  S938C3 ::v198(){vfunc_called(this, "_ZN6S938C34v198Ev");}
+void  S938C3 ::v199(){vfunc_called(this, "_ZN6S938C34v199Ev");}
+void  S938C3 ::v200(){vfunc_called(this, "_ZN6S938C34v200Ev");}
+void  S938C3 ::v201(){vfunc_called(this, "_ZN6S938C34v201Ev");}
+void  S938C3 ::v202(){vfunc_called(this, "_ZN6S938C34v202Ev");}
+void  S938C3 ::v203(){vfunc_called(this, "_ZN6S938C34v203Ev");}
+void  S938C3 ::v204(){vfunc_called(this, "_ZN6S938C34v204Ev");}
+void  S938C3 ::v205(){vfunc_called(this, "_ZN6S938C34v205Ev");}
+void  S938C3 ::v206(){vfunc_called(this, "_ZN6S938C34v206Ev");}
+void  S938C3 ::v207(){vfunc_called(this, "_ZN6S938C34v207Ev");}
+void  S938C3 ::v208(){vfunc_called(this, "_ZN6S938C34v208Ev");}
+void  S938C3 ::v209(){vfunc_called(this, "_ZN6S938C34v209Ev");}
+void  S938C3 ::v210(){vfunc_called(this, "_ZN6S938C34v210Ev");}
+void  S938C3 ::v211(){vfunc_called(this, "_ZN6S938C34v211Ev");}
+void  S938C3 ::v212(){vfunc_called(this, "_ZN6S938C34v212Ev");}
+void  S938C3 ::v213(){vfunc_called(this, "_ZN6S938C34v213Ev");}
+void  S938C3 ::v214(){vfunc_called(this, "_ZN6S938C34v214Ev");}
+void  S938C3 ::v215(){vfunc_called(this, "_ZN6S938C34v215Ev");}
+void  S938C3 ::v216(){vfunc_called(this, "_ZN6S938C34v216Ev");}
+void  S938C3 ::v217(){vfunc_called(this, "_ZN6S938C34v217Ev");}
+void  S938C3 ::v218(){vfunc_called(this, "_ZN6S938C34v218Ev");}
+void  S938C3 ::v219(){vfunc_called(this, "_ZN6S938C34v219Ev");}
+void  S938C3 ::v220(){vfunc_called(this, "_ZN6S938C34v220Ev");}
+void  S938C3 ::v221(){vfunc_called(this, "_ZN6S938C34v221Ev");}
+void  S938C3 ::v222(){vfunc_called(this, "_ZN6S938C34v222Ev");}
+void  S938C3 ::v223(){vfunc_called(this, "_ZN6S938C34v223Ev");}
+void  S938C3 ::v224(){vfunc_called(this, "_ZN6S938C34v224Ev");}
+void  S938C3 ::v225(){vfunc_called(this, "_ZN6S938C34v225Ev");}
+void  S938C3 ::v226(){vfunc_called(this, "_ZN6S938C34v226Ev");}
+void  S938C3 ::v227(){vfunc_called(this, "_ZN6S938C34v227Ev");}
+void  S938C3 ::v228(){vfunc_called(this, "_ZN6S938C34v228Ev");}
+void  S938C3 ::v229(){vfunc_called(this, "_ZN6S938C34v229Ev");}
+void  S938C3 ::v230(){vfunc_called(this, "_ZN6S938C34v230Ev");}
+void  S938C3 ::v231(){vfunc_called(this, "_ZN6S938C34v231Ev");}
+void  S938C3 ::v232(){vfunc_called(this, "_ZN6S938C34v232Ev");}
+void  S938C3 ::v233(){vfunc_called(this, "_ZN6S938C34v233Ev");}
+void  S938C3 ::v234(){vfunc_called(this, "_ZN6S938C34v234Ev");}
+void  S938C3 ::v235(){vfunc_called(this, "_ZN6S938C34v235Ev");}
+void  S938C3 ::v236(){vfunc_called(this, "_ZN6S938C34v236Ev");}
+void  S938C3 ::v237(){vfunc_called(this, "_ZN6S938C34v237Ev");}
+void  S938C3 ::v238(){vfunc_called(this, "_ZN6S938C34v238Ev");}
+void  S938C3 ::v239(){vfunc_called(this, "_ZN6S938C34v239Ev");}
+void  S938C3 ::v240(){vfunc_called(this, "_ZN6S938C34v240Ev");}
+void  S938C3 ::v241(){vfunc_called(this, "_ZN6S938C34v241Ev");}
+void  S938C3 ::v242(){vfunc_called(this, "_ZN6S938C34v242Ev");}
+void  S938C3 ::v243(){vfunc_called(this, "_ZN6S938C34v243Ev");}
+void  S938C3 ::v244(){vfunc_called(this, "_ZN6S938C34v244Ev");}
+void  S938C3 ::v245(){vfunc_called(this, "_ZN6S938C34v245Ev");}
+void  S938C3 ::v246(){vfunc_called(this, "_ZN6S938C34v246Ev");}
+void  S938C3 ::v247(){vfunc_called(this, "_ZN6S938C34v247Ev");}
+void  S938C3 ::v248(){vfunc_called(this, "_ZN6S938C34v248Ev");}
+void  S938C3 ::v249(){vfunc_called(this, "_ZN6S938C34v249Ev");}
+void  S938C3 ::v250(){vfunc_called(this, "_ZN6S938C34v250Ev");}
+void  S938C3 ::v251(){vfunc_called(this, "_ZN6S938C34v251Ev");}
+void  S938C3 ::v252(){vfunc_called(this, "_ZN6S938C34v252Ev");}
+S938C3 ::S938C3(){ note_ctor("S938C3", this);} // tgen
+
+static void Test_S938C3()
+{
+  extern Class_Descriptor cd_S938C3;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(159,253)];
+    init_test(&cd_S938C3, buf);
+    S938C3 *dp, &lv = *(dp=new (buf) S938C3());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(1264,1008), "sizeof(S938C3)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S938C3)");
+    check_base_class_offset(lv, (S938C5*)(S938C4*), 0, "S938C3");
+    check_base_class_offset(lv, (S938C4*), 0, "S938C3");
+    check_field_offset(lv, s938c3f0, ABISELECT(832,632), "S938C3.s938c3f0");
+    check_field_offset(lv, s938c3f1, ABISELECT(840,640), "S938C3.s938c3f1");
+    check_field_offset(lv, s938c3f2, ABISELECT(848,648), "S938C3.s938c3f2");
+    check_field_offset(lv, s938c3f3, ABISELECT(880,664), "S938C3.s938c3f3");
+    check_field_offset(lv, s938c3f4, ABISELECT(896,680), "S938C3.s938c3f4");
+    check_field_offset(lv, s938c3f5, ABISELECT(912,696), "S938C3.s938c3f5");
+    check_field_offset(lv, s938c3f6, ABISELECT(928,712), "S938C3.s938c3f6");
+    check_field_offset(lv, s938c3f7, ABISELECT(936,720), "S938C3.s938c3f7");
+    check_field_offset(lv, s938c3f8, ABISELECT(952,736), "S938C3.s938c3f8");
+    check_field_offset(lv, s938c3f9, ABISELECT(960,744), "S938C3.s938c3f9");
+    check_field_offset(lv, s938c3f10, ABISELECT(968,752), "S938C3.s938c3f10");
+    check_field_offset(lv, s938c3f11, ABISELECT(984,768), "S938C3.s938c3f11");
+    check_field_offset(lv, s938c3f12, ABISELECT(1000,784), "S938C3.s938c3f12");
+    check_field_offset(lv, s938c3f13, ABISELECT(1016,800), "S938C3.s938c3f13");
+    check_field_offset(lv, s938c3f14, ABISELECT(1024,808), "S938C3.s938c3f14");
+    check_field_offset(lv, s938c3f15, ABISELECT(1040,824), "S938C3.s938c3f15");
+    check_field_offset(lv, s938c3f16, ABISELECT(1056,840), "S938C3.s938c3f16");
+    check_field_offset(lv, s938c3f17, ABISELECT(1088,868), "S938C3.s938c3f17");
+    check_field_offset(lv, s938c3f18, ABISELECT(1104,884), "S938C3.s938c3f18");
+    check_field_offset(lv, s938c3f19, ABISELECT(1112,892), "S938C3.s938c3f19");
+    check_field_offset(lv, s938c3f20, ABISELECT(1120,900), "S938C3.s938c3f20");
+    check_field_offset(lv, s938c3f21, ABISELECT(1128,908), "S938C3.s938c3f21");
+    check_field_offset(lv, s938c3f22, ABISELECT(1136,912), "S938C3.s938c3f22");
+    check_field_offset(lv, s938c3f23, ABISELECT(1144,916), "S938C3.s938c3f23");
+    check_field_offset(lv, s938c3f24, ABISELECT(1176,932), "S938C3.s938c3f24");
+    check_field_offset(lv, s938c3f25, ABISELECT(1184,936), "S938C3.s938c3f25");
+    check_field_offset(lv, s938c3f26, ABISELECT(1200,948), "S938C3.s938c3f26");
+    check_field_offset(lv, s938c3f27, ABISELECT(1232,980), "S938C3.s938c3f27");
+    check_field_offset(lv, s938c3f28, ABISELECT(1240,988), "S938C3.s938c3f28");
+    check_field_offset(lv, s938c3f29, ABISELECT(1244,992), "S938C3.s938c3f29");
+    check_field_offset(lv, s938c3f30, ABISELECT(1248,996), "S938C3.s938c3f30");
+    check_field_offset(lv, s938c3f31, ABISELECT(1256,1004), "S938C3.s938c3f31");
+    test_class_info(&lv, &cd_S938C3);
+    dp->~S938C3();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS938C3(Test_S938C3, "S938C3", ABISELECT(1264,1008));
+
+#else // __cplusplus
+
+extern void _ZN6S938C3C1Ev();
+extern void _ZN6S938C3D1Ev();
+Name_Map name_map_S938C3[] = {
+  NSPAIR(_ZN6S938C3C1Ev),
+  NSPAIR(_ZN6S938C3D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S938C5;
+extern VTBL_ENTRY _ZTI6S938C5[];
+extern  VTBL_ENTRY _ZTV6S938C5[];
+extern Class_Descriptor cd_S938C4;
+extern VTBL_ENTRY _ZTI6S938C4[];
+extern  VTBL_ENTRY _ZTV6S938C4[];
+static Base_Class bases_S938C3[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S938C5,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    1, //immediately_derived
+  0, 0},
+  {&cd_S938C4,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    2, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI6S938C3[];
+extern void _ZN6S938C3D1Ev();
+extern void _ZN6S938C3D0Ev();
+extern void _ZN6S938C53v66Ev();
+extern void _ZN6S938C53v67Ev();
+extern void _ZN6S938C53v68Ev();
+extern void _ZN6S938C53v69Ev();
+extern void _ZN6S938C53v70Ev();
+extern void _ZN6S938C53v71Ev();
+extern void _ZN6S938C53v72Ev();
+extern void _ZN6S938C53v73Ev();
+extern void _ZN6S938C53v74Ev();
+extern void _ZN6S938C53v75Ev();
+extern void _ZN6S938C53v76Ev();
+extern void _ZN6S938C53v77Ev();
+extern void _ZN6S938C53v78Ev();
+extern void _ZN6S938C53v79Ev();
+extern void _ZN6S938C53v80Ev();
+extern void _ZN6S938C53v81Ev();
+extern void _ZN6S938C53v82Ev();
+extern void _ZN6S938C53v83Ev();
+extern void _ZN6S938C53v84Ev();
+extern void _ZN6S938C53v85Ev();
+extern void _ZN6S938C53v86Ev();
+extern void _ZN6S938C53v87Ev();
+extern void _ZN6S938C53v88Ev();
+extern void _ZN6S938C43v89Ev();
+extern void _ZN6S938C43v90Ev();
+extern void _ZN6S938C43v91Ev();
+extern void _ZN6S938C43v92Ev();
+extern void _ZN6S938C43v93Ev();
+extern void _ZN6S938C43v94Ev();
+extern void _ZN6S938C43v95Ev();
+extern void _ZN6S938C43v96Ev();
+extern void _ZN6S938C43v97Ev();
+extern void _ZN6S938C43v98Ev();
+extern void _ZN6S938C43v99Ev();
+extern void _ZN6S938C44v100Ev();
+extern void _ZN6S938C44v101Ev();
+extern void _ZN6S938C44v102Ev();
+extern void _ZN6S938C44v103Ev();
+extern void _ZN6S938C44v104Ev();
+extern void _ZN6S938C44v105Ev();
+extern void _ZN6S938C44v106Ev();
+extern void _ZN6S938C44v107Ev();
+extern void _ZN6S938C44v108Ev();
+extern void _ZN6S938C44v109Ev();
+extern void _ZN6S938C44v110Ev();
+extern void _ZN6S938C44v111Ev();
+extern void _ZN6S938C44v112Ev();
+extern void _ZN6S938C44v113Ev();
+extern void _ZN6S938C44v114Ev();
+extern void _ZN6S938C44v115Ev();
+extern void _ZN6S938C44v116Ev();
+extern void _ZN6S938C44v117Ev();
+extern void _ZN6S938C44v118Ev();
+extern void _ZN6S938C44v119Ev();
+extern void _ZN6S938C44v120Ev();
+extern void _ZN6S938C44v121Ev();
+extern void _ZN6S938C44v122Ev();
+extern void _ZN6S938C44v123Ev();
+extern void _ZN6S938C44v124Ev();
+extern void _ZN6S938C44v125Ev();
+extern void _ZN6S938C44v126Ev();
+extern void _ZN6S938C44v127Ev();
+extern void _ZN6S938C44v128Ev();
+extern void _ZN6S938C44v129Ev();
+extern void _ZN6S938C44v130Ev();
+extern void _ZN6S938C44v131Ev();
+extern void _ZN6S938C44v132Ev();
+extern void _ZN6S938C44v133Ev();
+extern void _ZN6S938C44v134Ev();
+extern void _ZN6S938C44v135Ev();
+extern void _ZN6S938C44v136Ev();
+extern void _ZN6S938C44v137Ev();
+extern void _ZN6S938C44v138Ev();
+extern void _ZN6S938C44v139Ev();
+extern void _ZN6S938C44v140Ev();
+extern void _ZN6S938C44v141Ev();
+extern void _ZN6S938C44v142Ev();
+extern void _ZN6S938C44v143Ev();
+extern void _ZN6S938C44v144Ev();
+extern void _ZN6S938C44v145Ev();
+extern void _ZN6S938C44v146Ev();
+extern void _ZN6S938C44v147Ev();
+extern void _ZN6S938C44v148Ev();
+extern void _ZN6S938C44v149Ev();
+extern void _ZN6S938C44v150Ev();
+extern void _ZN6S938C44v151Ev();
+extern void _ZN6S938C44v152Ev();
+extern void _ZN6S938C44v153Ev();
+extern void _ZN6S938C44v154Ev();
+extern void _ZN6S938C44v155Ev();
+extern void _ZN6S938C44v156Ev();
+extern void _ZN6S938C44v157Ev();
+extern void _ZN6S938C44v158Ev();
+extern void _ZN6S938C44v159Ev();
+extern void _ZN6S938C44v160Ev();
+extern void _ZN6S938C44v161Ev();
+extern void _ZN6S938C44v162Ev();
+extern void _ZN6S938C44v163Ev();
+extern void _ZN6S938C44v164Ev();
+extern void _ZN6S938C44v165Ev();
+extern void _ZN6S938C44v166Ev();
+extern void _ZN6S938C34v167Ev();
+extern void _ZN6S938C34v168Ev();
+extern void _ZN6S938C34v169Ev();
+extern void _ZN6S938C34v170Ev();
+extern void _ZN6S938C34v171Ev();
+extern void _ZN6S938C34v172Ev();
+extern void _ZN6S938C34v173Ev();
+extern void _ZN6S938C34v174Ev();
+extern void _ZN6S938C34v175Ev();
+extern void _ZN6S938C34v176Ev();
+extern void _ZN6S938C34v177Ev();
+extern void _ZN6S938C34v178Ev();
+extern void _ZN6S938C34v179Ev();
+extern void _ZN6S938C34v180Ev();
+extern void _ZN6S938C34v181Ev();
+extern void _ZN6S938C34v182Ev();
+extern void _ZN6S938C34v183Ev();
+extern void _ZN6S938C34v184Ev();
+extern void _ZN6S938C34v185Ev();
+extern void _ZN6S938C34v186Ev();
+extern void _ZN6S938C34v187Ev();
+extern void _ZN6S938C34v188Ev();
+extern void _ZN6S938C34v189Ev();
+extern void _ZN6S938C34v190Ev();
+extern void _ZN6S938C34v191Ev();
+extern void _ZN6S938C34v192Ev();
+extern void _ZN6S938C34v193Ev();
+extern void _ZN6S938C34v194Ev();
+extern void _ZN6S938C34v195Ev();
+extern void _ZN6S938C34v196Ev();
+extern void _ZN6S938C34v197Ev();
+extern void _ZN6S938C34v198Ev();
+extern void _ZN6S938C34v199Ev();
+extern void _ZN6S938C34v200Ev();
+extern void _ZN6S938C34v201Ev();
+extern void _ZN6S938C34v202Ev();
+extern void _ZN6S938C34v203Ev();
+extern void _ZN6S938C34v204Ev();
+extern void _ZN6S938C34v205Ev();
+extern void _ZN6S938C34v206Ev();
+extern void _ZN6S938C34v207Ev();
+extern void _ZN6S938C34v208Ev();
+extern void _ZN6S938C34v209Ev();
+extern void _ZN6S938C34v210Ev();
+extern void _ZN6S938C34v211Ev();
+extern void _ZN6S938C34v212Ev();
+extern void _ZN6S938C34v213Ev();
+extern void _ZN6S938C34v214Ev();
+extern void _ZN6S938C34v215Ev();
+extern void _ZN6S938C34v216Ev();
+extern void _ZN6S938C34v217Ev();
+extern void _ZN6S938C34v218Ev();
+extern void _ZN6S938C34v219Ev();
+extern void _ZN6S938C34v220Ev();
+extern void _ZN6S938C34v221Ev();
+extern void _ZN6S938C34v222Ev();
+extern void _ZN6S938C34v223Ev();
+extern void _ZN6S938C34v224Ev();
+extern void _ZN6S938C34v225Ev();
+extern void _ZN6S938C34v226Ev();
+extern void _ZN6S938C34v227Ev();
+extern void _ZN6S938C34v228Ev();
+extern void _ZN6S938C34v229Ev();
+extern void _ZN6S938C34v230Ev();
+extern void _ZN6S938C34v231Ev();
+extern void _ZN6S938C34v232Ev();
+extern void _ZN6S938C34v233Ev();
+extern void _ZN6S938C34v234Ev();
+extern void _ZN6S938C34v235Ev();
+extern void _ZN6S938C34v236Ev();
+extern void _ZN6S938C34v237Ev();
+extern void _ZN6S938C34v238Ev();
+extern void _ZN6S938C34v239Ev();
+extern void _ZN6S938C34v240Ev();
+extern void _ZN6S938C34v241Ev();
+extern void _ZN6S938C34v242Ev();
+extern void _ZN6S938C34v243Ev();
+extern void _ZN6S938C34v244Ev();
+extern void _ZN6S938C34v245Ev();
+extern void _ZN6S938C34v246Ev();
+extern void _ZN6S938C34v247Ev();
+extern void _ZN6S938C34v248Ev();
+extern void _ZN6S938C34v249Ev();
+extern void _ZN6S938C34v250Ev();
+extern void _ZN6S938C34v251Ev();
+extern void _ZN6S938C34v252Ev();
+static  VTBL_ENTRY vtc_S938C3[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S938C3[0]),
+  (VTBL_ENTRY)&_ZN6S938C3D1Ev,
+  (VTBL_ENTRY)&_ZN6S938C3D0Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v77Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v78Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v79Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v80Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v81Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v82Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v83Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v84Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v85Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v86Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v87Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v88Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v89Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v90Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v91Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v92Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v93Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v94Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v95Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v96Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v97Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v98Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v99Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v100Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v101Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v102Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v103Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v104Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v105Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v106Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v107Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v108Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v109Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v110Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v111Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v112Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v113Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v114Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v115Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v116Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v117Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v118Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v119Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v120Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v121Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v122Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v123Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v124Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v125Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v126Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v127Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v128Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v129Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v130Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v131Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v132Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v133Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v134Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v135Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v136Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v137Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v138Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v139Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v140Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v141Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v142Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v143Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v144Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v145Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v146Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v147Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v148Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v149Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v150Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v151Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v152Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v153Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v154Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v155Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v156Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v157Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v158Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v159Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v160Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v161Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v162Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v163Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v164Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v165Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v166Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v167Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v168Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v169Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v170Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v171Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v172Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v173Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v174Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v175Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v176Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v177Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v178Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v179Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v180Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v181Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v182Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v183Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v184Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v185Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v186Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v187Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v188Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v189Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v190Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v191Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v192Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v193Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v194Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v195Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v196Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v197Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v198Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v199Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v200Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v201Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v202Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v203Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v204Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v205Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v206Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v207Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v208Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v209Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v210Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v211Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v212Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v213Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v214Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v215Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v216Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v217Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v218Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v219Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v220Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v221Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v222Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v223Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v224Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v225Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v226Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v227Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v228Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v229Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v230Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v231Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v232Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v233Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v234Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v235Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v236Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v237Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v238Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v239Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v240Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v241Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v242Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v243Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v244Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v245Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v246Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v247Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v248Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v249Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v250Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v251Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v252Ev,
+};
+extern VTBL_ENTRY _ZTI6S938C3[];
+extern  VTBL_ENTRY _ZTV6S938C3[];
+Class_Descriptor cd_S938C3 = {  "S938C3", // class name
+  bases_S938C3, 2,
+  &(vtc_S938C3[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(1264,1008), // object size
+  NSPAIRA(_ZTI6S938C3),ABISELECT(24,12), //typeinfo_var
+  NSPAIRA(_ZTV6S938C3),191, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  2, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C48  {
+  void  mf938c48(); // _ZN7S938C488mf938c48Ev
+};
+//SIG(-1 S938C48) C1{ m}
+
+
+void  S938C48 ::mf938c48(){}
+
+static void Test_S938C48()
+{
+  {
+    init_simple_test("S938C48");
+    S938C48 lv;
+    check2(sizeof(lv), 1, "sizeof(S938C48)");
+    check2(__alignof__(lv), 1, "__alignof__(S938C48)");
+  }
+}
+static Arrange_To_Call_Me vS938C48(Test_S938C48, "S938C48", 1);
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C46  {
+  void *s938c46f0;
+  int s938c46f1;
+  ::S938C39 s938c46f2;
+  ::S938C48 s938c46f3;
+  void  mf938c46(); // _ZN7S938C468mf938c46Ev
+};
+//SIG(-1 S938C46) C1{ m Fp Fi FC2{ m} FC3{ m}}
+
+
+void  S938C46 ::mf938c46(){}
+
+static void Test_S938C46()
+{
+  {
+    init_simple_test("S938C46");
+    S938C46 lv;
+    check2(sizeof(lv), ABISELECT(16,12), "sizeof(S938C46)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S938C46)");
+    check_field_offset(lv, s938c46f0, 0, "S938C46.s938c46f0");
+    check_field_offset(lv, s938c46f1, ABISELECT(8,4), "S938C46.s938c46f1");
+    check_field_offset(lv, s938c46f2, ABISELECT(12,8), "S938C46.s938c46f2");
+    check_field_offset(lv, s938c46f3, ABISELECT(13,9), "S938C46.s938c46f3");
+  }
+}
+static Arrange_To_Call_Me vS938C46(Test_S938C46, "S938C46", ABISELECT(16,12));
+
+#else // __cplusplus
+
+Class_Descriptor cd_S938C46 = {  "S938C46", // class name
+  0,0,//no base classes
+  0, // no vftv
+  0, //no vtt
+  ABISELECT(16,12), // object size
+  {0,0},0, // typeinfo_var
+  {0,0},0, // virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C45  : S938C46 {
+};
+//SIG(-1 S938C45) C1{ BC2{ m Fp Fi FC3{ m} FC4{ m}}}
+
+
+
+static void Test_S938C45()
+{
+  extern Class_Descriptor cd_S938C45;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(3,4)];
+    init_test(&cd_S938C45, buf);
+    S938C45 &lv = *(new (buf) S938C45());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(16,12), "sizeof(S938C45)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S938C45)");
+    check_base_class_offset(lv, (S938C46*), 0, "S938C45");
+    test_class_info(&lv, &cd_S938C45);
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS938C45(Test_S938C45, "S938C45", ABISELECT(16,12));
+
+#else // __cplusplus
+
+extern Class_Descriptor cd_S938C46;
+static Base_Class bases_S938C45[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S938C46,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    -1, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+Class_Descriptor cd_S938C45 = {  "S938C45", // class name
+  bases_S938C45, 1,
+  0, // no vftv
+  0, //no vtt
+  ABISELECT(16,12), // object size
+  {0,0},0, // typeinfo_var
+  {0,0},0, // virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C2  : S938C3 {
+  ::S938C45 s938c2f0;
+  ::S938C38 s938c2f1;
+  char s938c2f2[2];
+  ::S938C40_nt s938c2f3;
+  ::S938C40_nt s938c2f4;
+  ::S938C14_nt s938c2f5;
+  virtual  ~S938C2(); // _ZN6S938C2D1Ev
+  virtual void  v253(); // _ZN6S938C24v253Ev
+  virtual void  v254(); // _ZN6S938C24v254Ev
+  virtual void  v255(); // _ZN6S938C24v255Ev
+  virtual void  v256(); // _ZN6S938C24v256Ev
+  virtual void  v257(); // _ZN6S938C24v257Ev
+  virtual void  v258(); // _ZN6S938C24v258Ev
+  virtual void  v259(); // _ZN6S938C24v259Ev
+  virtual void  v260(); // _ZN6S938C24v260Ev
+  virtual void  v261(); // _ZN6S938C24v261Ev
+  S938C2(); // tgen
+};
+//SIG(-1 S938C2) C1{ BC2{ BC3{ BC4{ vd v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 Fp Fi FC5{ BC6{ m Fp Fi FC7{ m} FC7}} FC5 Fi} vd v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53 v54 v55 v56 v57 v58 v59 v60 v61 v62 v63 v64 v65 v66 v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 v78 v79 v80 v81 v82 v83 v84 v85 v86 v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 v102 v103 v104 v105 v106 v107 v108 v109 v110 FC8{ m FC9{ m FL[2]}} FC8 FC8 FC8 FC10{ m FC8} FC11{ m FC8 FC8 FC8 FC8} FC11 FC8 FC8 FC8 Fp FC10 FC12{ m FC13{ m Fp Fi FC14{ m}} Fi} Fi Fp[7] FC15{ m Fp Fi FC14 FC16{ m}} Fi:8 Fi:8 Fi:16 Fi:16 Fi:8 Fi:8 Fi:8 Fi:32 Fi:8 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fi[7] Fp[6]!
  Fi:8 Fi:
 8 Fi:8 Fi:8 Fi:8 Fi:8 FC17{ BC18{ m Fp Fi FC16 FC19{ m}}} Fp[4] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:16 Fi:16 Fi:16 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:8 Fi:8 Fi:8 Fp[7] FC20{ m Fp Fi[2] FC19} Fp[2] Fi:16 Fi:16 FC17 FC20 FC21{ m Fp Fi[2] FC22{ m}} Fp[2] Fi:24 Fi:8 Fp} vd v111 v112 v113 v114 v115 v116 v117 v118 v119 v120 v121 v122 v123 v124 v125 v126 v127 v128 v129 v130 v131 v132 v133 v134 v135 v136 v137 v138 v139 v140 v141 v142 v143 v144 v145 v146 v147 v148 v149 v150 v151 v152 v153 v154 v155 v156 v157 v158 v159 v160 v161 v162 v163 v164 v165 v166 v167 v168 v169 v170 v171 v172 v173 v174 v175 v176 v177 v178 v179 v180 v181 v182 v183 v184 v185 v186 v187 v188 v189 v190 v191 v192 v193 v194 v195 v196 Fi[2] FC23{ m FC24{ m FL}} Fp[4] FC8 FC8 FC8 FC23 FC8 FC23 FC23 FC8 FC8 FC8 FC23 FC8 FC8 Fi[7] FC10 FC23 FC23 FC23 Fp Fi Fp[4] Fc[2] FC15 Fi[8] Fc[6] Fi Fc Fi[2] Fc[2]} vd v1 v2 v3 v4 v5 v6 v7 v8 v9 FC25{ BC26{ m Fp Fi FC22 FC27{ m}}} FC21 Fc[2] FC23 FC23 FC8}
+
+
+ S938C2 ::~S938C2(){ note_dtor("S938C2", this);}
+void  S938C2 ::v253(){vfunc_called(this, "_ZN6S938C24v253Ev");}
+void  S938C2 ::v254(){vfunc_called(this, "_ZN6S938C24v254Ev");}
+void  S938C2 ::v255(){vfunc_called(this, "_ZN6S938C24v255Ev");}
+void  S938C2 ::v256(){vfunc_called(this, "_ZN6S938C24v256Ev");}
+void  S938C2 ::v257(){vfunc_called(this, "_ZN6S938C24v257Ev");}
+void  S938C2 ::v258(){vfunc_called(this, "_ZN6S938C24v258Ev");}
+void  S938C2 ::v259(){vfunc_called(this, "_ZN6S938C24v259Ev");}
+void  S938C2 ::v260(){vfunc_called(this, "_ZN6S938C24v260Ev");}
+void  S938C2 ::v261(){vfunc_called(this, "_ZN6S938C24v261Ev");}
+S938C2 ::S938C2(){ note_ctor("S938C2", this);} // tgen
+
+static void Test_S938C2()
+{
+  extern Class_Descriptor cd_S938C2;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(169,269)];
+    init_test(&cd_S938C2, buf);
+    S938C2 *dp, &lv = *(dp=new (buf) S938C2());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(1344,1072), "sizeof(S938C2)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S938C2)");
+    check_base_class_offset(lv, (S938C5*)(S938C4*)(S938C3*), 0, "S938C2");
+    check_base_class_offset(lv, (S938C4*)(S938C3*), 0, "S938C2");
+    check_base_class_offset(lv, (S938C3*), 0, "S938C2");
+    check_field_offset(lv, s938c2f0, ABISELECT(1264,1008), "S938C2.s938c2f0");
+    check_field_offset(lv, s938c2f1, ABISELECT(1280,1020), "S938C2.s938c2f1");
+    check_field_offset(lv, s938c2f2, ABISELECT(1304,1036), "S938C2.s938c2f2");
+    check_field_offset(lv, s938c2f3, ABISELECT(1312,1040), "S938C2.s938c2f3");
+    check_field_offset(lv, s938c2f4, ABISELECT(1320,1048), "S938C2.s938c2f4");
+    check_field_offset(lv, s938c2f5, ABISELECT(1328,1056), "S938C2.s938c2f5");
+    test_class_info(&lv, &cd_S938C2);
+    dp->~S938C2();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS938C2(Test_S938C2, "S938C2", ABISELECT(1344,1072));
+
+#else // __cplusplus
+
+extern void _ZN6S938C2C1Ev();
+extern void _ZN6S938C2D1Ev();
+Name_Map name_map_S938C2[] = {
+  NSPAIR(_ZN6S938C2C1Ev),
+  NSPAIR(_ZN6S938C2D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S938C5;
+extern VTBL_ENTRY _ZTI6S938C5[];
+extern  VTBL_ENTRY _ZTV6S938C5[];
+extern Class_Descriptor cd_S938C4;
+extern VTBL_ENTRY _ZTI6S938C4[];
+extern  VTBL_ENTRY _ZTV6S938C4[];
+extern Class_Descriptor cd_S938C3;
+extern VTBL_ENTRY _ZTI6S938C3[];
+extern  VTBL_ENTRY _ZTV6S938C3[];
+static Base_Class bases_S938C2[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S938C5,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    1, //immediately_derived
+  0, 0},
+  {&cd_S938C4,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    2, //init_seq
+    2, //immediately_derived
+  0, 0},
+  {&cd_S938C3,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    3, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI6S938C2[];
+extern void _ZN6S938C2D1Ev();
+extern void _ZN6S938C2D0Ev();
+extern void _ZN6S938C53v66Ev();
+extern void _ZN6S938C53v67Ev();
+extern void _ZN6S938C53v68Ev();
+extern void _ZN6S938C53v69Ev();
+extern void _ZN6S938C53v70Ev();
+extern void _ZN6S938C53v71Ev();
+extern void _ZN6S938C53v72Ev();
+extern void _ZN6S938C53v73Ev();
+extern void _ZN6S938C53v74Ev();
+extern void _ZN6S938C53v75Ev();
+extern void _ZN6S938C53v76Ev();
+extern void _ZN6S938C53v77Ev();
+extern void _ZN6S938C53v78Ev();
+extern void _ZN6S938C53v79Ev();
+extern void _ZN6S938C53v80Ev();
+extern void _ZN6S938C53v81Ev();
+extern void _ZN6S938C53v82Ev();
+extern void _ZN6S938C53v83Ev();
+extern void _ZN6S938C53v84Ev();
+extern void _ZN6S938C53v85Ev();
+extern void _ZN6S938C53v86Ev();
+extern void _ZN6S938C53v87Ev();
+extern void _ZN6S938C53v88Ev();
+extern void _ZN6S938C43v89Ev();
+extern void _ZN6S938C43v90Ev();
+extern void _ZN6S938C43v91Ev();
+extern void _ZN6S938C43v92Ev();
+extern void _ZN6S938C43v93Ev();
+extern void _ZN6S938C43v94Ev();
+extern void _ZN6S938C43v95Ev();
+extern void _ZN6S938C43v96Ev();
+extern void _ZN6S938C43v97Ev();
+extern void _ZN6S938C43v98Ev();
+extern void _ZN6S938C43v99Ev();
+extern void _ZN6S938C44v100Ev();
+extern void _ZN6S938C44v101Ev();
+extern void _ZN6S938C44v102Ev();
+extern void _ZN6S938C44v103Ev();
+extern void _ZN6S938C44v104Ev();
+extern void _ZN6S938C44v105Ev();
+extern void _ZN6S938C44v106Ev();
+extern void _ZN6S938C44v107Ev();
+extern void _ZN6S938C44v108Ev();
+extern void _ZN6S938C44v109Ev();
+extern void _ZN6S938C44v110Ev();
+extern void _ZN6S938C44v111Ev();
+extern void _ZN6S938C44v112Ev();
+extern void _ZN6S938C44v113Ev();
+extern void _ZN6S938C44v114Ev();
+extern void _ZN6S938C44v115Ev();
+extern void _ZN6S938C44v116Ev();
+extern void _ZN6S938C44v117Ev();
+extern void _ZN6S938C44v118Ev();
+extern void _ZN6S938C44v119Ev();
+extern void _ZN6S938C44v120Ev();
+extern void _ZN6S938C44v121Ev();
+extern void _ZN6S938C44v122Ev();
+extern void _ZN6S938C44v123Ev();
+extern void _ZN6S938C44v124Ev();
+extern void _ZN6S938C44v125Ev();
+extern void _ZN6S938C44v126Ev();
+extern void _ZN6S938C44v127Ev();
+extern void _ZN6S938C44v128Ev();
+extern void _ZN6S938C44v129Ev();
+extern void _ZN6S938C44v130Ev();
+extern void _ZN6S938C44v131Ev();
+extern void _ZN6S938C44v132Ev();
+extern void _ZN6S938C44v133Ev();
+extern void _ZN6S938C44v134Ev();
+extern void _ZN6S938C44v135Ev();
+extern void _ZN6S938C44v136Ev();
+extern void _ZN6S938C44v137Ev();
+extern void _ZN6S938C44v138Ev();
+extern void _ZN6S938C44v139Ev();
+extern void _ZN6S938C44v140Ev();
+extern void _ZN6S938C44v141Ev();
+extern void _ZN6S938C44v142Ev();
+extern void _ZN6S938C44v143Ev();
+extern void _ZN6S938C44v144Ev();
+extern void _ZN6S938C44v145Ev();
+extern void _ZN6S938C44v146Ev();
+extern void _ZN6S938C44v147Ev();
+extern void _ZN6S938C44v148Ev();
+extern void _ZN6S938C44v149Ev();
+extern void _ZN6S938C44v150Ev();
+extern void _ZN6S938C44v151Ev();
+extern void _ZN6S938C44v152Ev();
+extern void _ZN6S938C44v153Ev();
+extern void _ZN6S938C44v154Ev();
+extern void _ZN6S938C44v155Ev();
+extern void _ZN6S938C44v156Ev();
+extern void _ZN6S938C44v157Ev();
+extern void _ZN6S938C44v158Ev();
+extern void _ZN6S938C44v159Ev();
+extern void _ZN6S938C44v160Ev();
+extern void _ZN6S938C44v161Ev();
+extern void _ZN6S938C44v162Ev();
+extern void _ZN6S938C44v163Ev();
+extern void _ZN6S938C44v164Ev();
+extern void _ZN6S938C44v165Ev();
+extern void _ZN6S938C44v166Ev();
+extern void _ZN6S938C34v167Ev();
+extern void _ZN6S938C34v168Ev();
+extern void _ZN6S938C34v169Ev();
+extern void _ZN6S938C34v170Ev();
+extern void _ZN6S938C34v171Ev();
+extern void _ZN6S938C34v172Ev();
+extern void _ZN6S938C34v173Ev();
+extern void _ZN6S938C34v174Ev();
+extern void _ZN6S938C34v175Ev();
+extern void _ZN6S938C34v176Ev();
+extern void _ZN6S938C34v177Ev();
+extern void _ZN6S938C34v178Ev();
+extern void _ZN6S938C34v179Ev();
+extern void _ZN6S938C34v180Ev();
+extern void _ZN6S938C34v181Ev();
+extern void _ZN6S938C34v182Ev();
+extern void _ZN6S938C34v183Ev();
+extern void _ZN6S938C34v184Ev();
+extern void _ZN6S938C34v185Ev();
+extern void _ZN6S938C34v186Ev();
+extern void _ZN6S938C34v187Ev();
+extern void _ZN6S938C34v188Ev();
+extern void _ZN6S938C34v189Ev();
+extern void _ZN6S938C34v190Ev();
+extern void _ZN6S938C34v191Ev();
+extern void _ZN6S938C34v192Ev();
+extern void _ZN6S938C34v193Ev();
+extern void _ZN6S938C34v194Ev();
+extern void _ZN6S938C34v195Ev();
+extern void _ZN6S938C34v196Ev();
+extern void _ZN6S938C34v197Ev();
+extern void _ZN6S938C34v198Ev();
+extern void _ZN6S938C34v199Ev();
+extern void _ZN6S938C34v200Ev();
+extern void _ZN6S938C34v201Ev();
+extern void _ZN6S938C34v202Ev();
+extern void _ZN6S938C34v203Ev();
+extern void _ZN6S938C34v204Ev();
+extern void _ZN6S938C34v205Ev();
+extern void _ZN6S938C34v206Ev();
+extern void _ZN6S938C34v207Ev();
+extern void _ZN6S938C34v208Ev();
+extern void _ZN6S938C34v209Ev();
+extern void _ZN6S938C34v210Ev();
+extern void _ZN6S938C34v211Ev();
+extern void _ZN6S938C34v212Ev();
+extern void _ZN6S938C34v213Ev();
+extern void _ZN6S938C34v214Ev();
+extern void _ZN6S938C34v215Ev();
+extern void _ZN6S938C34v216Ev();
+extern void _ZN6S938C34v217Ev();
+extern void _ZN6S938C34v218Ev();
+extern void _ZN6S938C34v219Ev();
+extern void _ZN6S938C34v220Ev();
+extern void _ZN6S938C34v221Ev();
+extern void _ZN6S938C34v222Ev();
+extern void _ZN6S938C34v223Ev();
+extern void _ZN6S938C34v224Ev();
+extern void _ZN6S938C34v225Ev();
+extern void _ZN6S938C34v226Ev();
+extern void _ZN6S938C34v227Ev();
+extern void _ZN6S938C34v228Ev();
+extern void _ZN6S938C34v229Ev();
+extern void _ZN6S938C34v230Ev();
+extern void _ZN6S938C34v231Ev();
+extern void _ZN6S938C34v232Ev();
+extern void _ZN6S938C34v233Ev();
+extern void _ZN6S938C34v234Ev();
+extern void _ZN6S938C34v235Ev();
+extern void _ZN6S938C34v236Ev();
+extern void _ZN6S938C34v237Ev();
+extern void _ZN6S938C34v238Ev();
+extern void _ZN6S938C34v239Ev();
+extern void _ZN6S938C34v240Ev();
+extern void _ZN6S938C34v241Ev();
+extern void _ZN6S938C34v242Ev();
+extern void _ZN6S938C34v243Ev();
+extern void _ZN6S938C34v244Ev();
+extern void _ZN6S938C34v245Ev();
+extern void _ZN6S938C34v246Ev();
+extern void _ZN6S938C34v247Ev();
+extern void _ZN6S938C34v248Ev();
+extern void _ZN6S938C34v249Ev();
+extern void _ZN6S938C34v250Ev();
+extern void _ZN6S938C34v251Ev();
+extern void _ZN6S938C34v252Ev();
+extern void _ZN6S938C24v253Ev();
+extern void _ZN6S938C24v254Ev();
+extern void _ZN6S938C24v255Ev();
+extern void _ZN6S938C24v256Ev();
+extern void _ZN6S938C24v257Ev();
+extern void _ZN6S938C24v258Ev();
+extern void _ZN6S938C24v259Ev();
+extern void _ZN6S938C24v260Ev();
+extern void _ZN6S938C24v261Ev();
+static  VTBL_ENTRY vtc_S938C2[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S938C2[0]),
+  (VTBL_ENTRY)&_ZN6S938C2D1Ev,
+  (VTBL_ENTRY)&_ZN6S938C2D0Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v77Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v78Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v79Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v80Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v81Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v82Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v83Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v84Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v85Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v86Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v87Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v88Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v89Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v90Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v91Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v92Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v93Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v94Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v95Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v96Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v97Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v98Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v99Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v100Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v101Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v102Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v103Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v104Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v105Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v106Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v107Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v108Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v109Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v110Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v111Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v112Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v113Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v114Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v115Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v116Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v117Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v118Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v119Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v120Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v121Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v122Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v123Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v124Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v125Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v126Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v127Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v128Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v129Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v130Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v131Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v132Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v133Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v134Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v135Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v136Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v137Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v138Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v139Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v140Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v141Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v142Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v143Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v144Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v145Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v146Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v147Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v148Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v149Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v150Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v151Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v152Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v153Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v154Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v155Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v156Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v157Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v158Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v159Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v160Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v161Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v162Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v163Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v164Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v165Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v166Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v167Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v168Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v169Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v170Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v171Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v172Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v173Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v174Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v175Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v176Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v177Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v178Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v179Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v180Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v181Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v182Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v183Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v184Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v185Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v186Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v187Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v188Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v189Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v190Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v191Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v192Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v193Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v194Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v195Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v196Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v197Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v198Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v199Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v200Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v201Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v202Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v203Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v204Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v205Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v206Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v207Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v208Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v209Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v210Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v211Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v212Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v213Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v214Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v215Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v216Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v217Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v218Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v219Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v220Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v221Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v222Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v223Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v224Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v225Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v226Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v227Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v228Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v229Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v230Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v231Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v232Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v233Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v234Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v235Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v236Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v237Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v238Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v239Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v240Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v241Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v242Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v243Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v244Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v245Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v246Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v247Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v248Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v249Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v250Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v251Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v252Ev,
+  (VTBL_ENTRY)&_ZN6S938C24v253Ev,
+  (VTBL_ENTRY)&_ZN6S938C24v254Ev,
+  (VTBL_ENTRY)&_ZN6S938C24v255Ev,
+  (VTBL_ENTRY)&_ZN6S938C24v256Ev,
+  (VTBL_ENTRY)&_ZN6S938C24v257Ev,
+  (VTBL_ENTRY)&_ZN6S938C24v258Ev,
+  (VTBL_ENTRY)&_ZN6S938C24v259Ev,
+  (VTBL_ENTRY)&_ZN6S938C24v260Ev,
+  (VTBL_ENTRY)&_ZN6S938C24v261Ev,
+};
+extern VTBL_ENTRY _ZTI6S938C2[];
+extern  VTBL_ENTRY _ZTV6S938C2[];
+Class_Descriptor cd_S938C2 = {  "S938C2", // class name
+  bases_S938C2, 3,
+  &(vtc_S938C2[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(1344,1072), // object size
+  NSPAIRA(_ZTI6S938C2),ABISELECT(24,12), //typeinfo_var
+  NSPAIRA(_ZTV6S938C2),200, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  3, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C51  {
+  virtual  ~S938C51(); // _ZN7S938C51D1Ev
+  virtual void  v262(); // _ZN7S938C514v262Ev
+  virtual void  v263(); // _ZN7S938C514v263Ev
+  virtual void  v264(); // _ZN7S938C514v264Ev
+  virtual void  v265(); // _ZN7S938C514v265Ev
+  virtual void  v266(); // _ZN7S938C514v266Ev
+  virtual void  v267(); // _ZN7S938C514v267Ev
+  S938C51(); // tgen
+};
+//SIG(-1 S938C51) C1{ vd v1 v2 v3 v4 v5 v6}
+
+
+ S938C51 ::~S938C51(){ note_dtor("S938C51", this);}
+void  S938C51 ::v262(){vfunc_called(this, "_ZN7S938C514v262Ev");}
+void  S938C51 ::v263(){vfunc_called(this, "_ZN7S938C514v263Ev");}
+void  S938C51 ::v264(){vfunc_called(this, "_ZN7S938C514v264Ev");}
+void  S938C51 ::v265(){vfunc_called(this, "_ZN7S938C514v265Ev");}
+void  S938C51 ::v266(){vfunc_called(this, "_ZN7S938C514v266Ev");}
+void  S938C51 ::v267(){vfunc_called(this, "_ZN7S938C514v267Ev");}
+S938C51 ::S938C51(){ note_ctor("S938C51", this);} // tgen
+
+static void Test_S938C51()
+{
+  extern Class_Descriptor cd_S938C51;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[2];
+    init_test(&cd_S938C51, buf);
+    S938C51 *dp, &lv = *(dp=new (buf) S938C51());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(8,4), "sizeof(S938C51)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S938C51)");
+    test_class_info(&lv, &cd_S938C51);
+    dp->~S938C51();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS938C51(Test_S938C51, "S938C51", ABISELECT(8,4));
+
+#else // __cplusplus
+
+extern void _ZN7S938C51C1Ev();
+extern void _ZN7S938C51D1Ev();
+Name_Map name_map_S938C51[] = {
+  NSPAIR(_ZN7S938C51C1Ev),
+  NSPAIR(_ZN7S938C51D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI7S938C51[];
+extern void _ZN7S938C51D1Ev();
+extern void _ZN7S938C51D0Ev();
+extern void _ZN7S938C514v262Ev();
+extern void _ZN7S938C514v263Ev();
+extern void _ZN7S938C514v264Ev();
+extern void _ZN7S938C514v265Ev();
+extern void _ZN7S938C514v266Ev();
+extern void _ZN7S938C514v267Ev();
+static  VTBL_ENTRY vtc_S938C51[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI7S938C51[0]),
+  (VTBL_ENTRY)&_ZN7S938C51D1Ev,
+  (VTBL_ENTRY)&_ZN7S938C51D0Ev,
+  (VTBL_ENTRY)&_ZN7S938C514v262Ev,
+  (VTBL_ENTRY)&_ZN7S938C514v263Ev,
+  (VTBL_ENTRY)&_ZN7S938C514v264Ev,
+  (VTBL_ENTRY)&_ZN7S938C514v265Ev,
+  (VTBL_ENTRY)&_ZN7S938C514v266Ev,
+  (VTBL_ENTRY)&_ZN7S938C514v267Ev,
+};
+extern VTBL_ENTRY _ZTI7S938C51[];
+extern  VTBL_ENTRY _ZTV7S938C51[];
+Class_Descriptor cd_S938C51 = {  "S938C51", // class name
+  0,0,//no base classes
+  &(vtc_S938C51[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(8,4), // object size
+  NSPAIRA(_ZTI7S938C51),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV7S938C51),10, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  0, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C52  {
+  virtual  ~S938C52(); // _ZN7S938C52D1Ev
+  virtual void  v268(); // _ZN7S938C524v268Ev
+  virtual void  v269(); // _ZN7S938C524v269Ev
+  virtual void  v270(); // _ZN7S938C524v270Ev
+  virtual void  v271(); // _ZN7S938C524v271Ev
+  virtual void  v272(); // _ZN7S938C524v272Ev
+  virtual void  v273(); // _ZN7S938C524v273Ev
+  virtual void  v274(); // _ZN7S938C524v274Ev
+  virtual void  v275(); // _ZN7S938C524v275Ev
+  virtual void  v276(); // _ZN7S938C524v276Ev
+  virtual void  v277(); // _ZN7S938C524v277Ev
+  virtual void  v278(); // _ZN7S938C524v278Ev
+  virtual void  v279(); // _ZN7S938C524v279Ev
+  virtual void  v280(); // _ZN7S938C524v280Ev
+  virtual void  v281(); // _ZN7S938C524v281Ev
+  virtual void  v282(); // _ZN7S938C524v282Ev
+  virtual void  v283(); // _ZN7S938C524v283Ev
+  virtual void  v284(); // _ZN7S938C524v284Ev
+  virtual void  v285(); // _ZN7S938C524v285Ev
+  virtual void  v286(); // _ZN7S938C524v286Ev
+  virtual void  v287(); // _ZN7S938C524v287Ev
+  virtual void  v288(); // _ZN7S938C524v288Ev
+  virtual void  v289(); // _ZN7S938C524v289Ev
+  virtual void  v290(); // _ZN7S938C524v290Ev
+  virtual void  v291(); // _ZN7S938C524v291Ev
+  virtual void  v292(); // _ZN7S938C524v292Ev
+  virtual void  v293(); // _ZN7S938C524v293Ev
+  virtual void  v294(); // _ZN7S938C524v294Ev
+  virtual void  v295(); // _ZN7S938C524v295Ev
+  virtual void  v296(); // _ZN7S938C524v296Ev
+  virtual void  v297(); // _ZN7S938C524v297Ev
+  virtual void  v298(); // _ZN7S938C524v298Ev
+  virtual void  v299(); // _ZN7S938C524v299Ev
+  virtual void  v300(); // _ZN7S938C524v300Ev
+  virtual void  v301(); // _ZN7S938C524v301Ev
+  virtual void  v302(); // _ZN7S938C524v302Ev
+  virtual void  v303(); // _ZN7S938C524v303Ev
+  virtual void  v304(); // _ZN7S938C524v304Ev
+  virtual void  v305(); // _ZN7S938C524v305Ev
+  virtual void  v306(); // _ZN7S938C524v306Ev
+  virtual void  v307(); // _ZN7S938C524v307Ev
+  virtual void  v308(); // _ZN7S938C524v308Ev
+  virtual void  v309(); // _ZN7S938C524v309Ev
+  S938C52(); // tgen
+};
+//SIG(-1 S938C52) C1{ vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 v33 v34 v35 v36 v37 v38 v39 v40 v41 v42}
+
+
+ S938C52 ::~S938C52(){ note_dtor("S938C52", this);}
+void  S938C52 ::v268(){vfunc_called(this, "_ZN7S938C524v268Ev");}
+void  S938C52 ::v269(){vfunc_called(this, "_ZN7S938C524v269Ev");}
+void  S938C52 ::v270(){vfunc_called(this, "_ZN7S938C524v270Ev");}
+void  S938C52 ::v271(){vfunc_called(this, "_ZN7S938C524v271Ev");}
+void  S938C52 ::v272(){vfunc_called(this, "_ZN7S938C524v272Ev");}
+void  S938C52 ::v273(){vfunc_called(this, "_ZN7S938C524v273Ev");}
+void  S938C52 ::v274(){vfunc_called(this, "_ZN7S938C524v274Ev");}
+void  S938C52 ::v275(){vfunc_called(this, "_ZN7S938C524v275Ev");}
+void  S938C52 ::v276(){vfunc_called(this, "_ZN7S938C524v276Ev");}
+void  S938C52 ::v277(){vfunc_called(this, "_ZN7S938C524v277Ev");}
+void  S938C52 ::v278(){vfunc_called(this, "_ZN7S938C524v278Ev");}
+void  S938C52 ::v279(){vfunc_called(this, "_ZN7S938C524v279Ev");}
+void  S938C52 ::v280(){vfunc_called(this, "_ZN7S938C524v280Ev");}
+void  S938C52 ::v281(){vfunc_called(this, "_ZN7S938C524v281Ev");}
+void  S938C52 ::v282(){vfunc_called(this, "_ZN7S938C524v282Ev");}
+void  S938C52 ::v283(){vfunc_called(this, "_ZN7S938C524v283Ev");}
+void  S938C52 ::v284(){vfunc_called(this, "_ZN7S938C524v284Ev");}
+void  S938C52 ::v285(){vfunc_called(this, "_ZN7S938C524v285Ev");}
+void  S938C52 ::v286(){vfunc_called(this, "_ZN7S938C524v286Ev");}
+void  S938C52 ::v287(){vfunc_called(this, "_ZN7S938C524v287Ev");}
+void  S938C52 ::v288(){vfunc_called(this, "_ZN7S938C524v288Ev");}
+void  S938C52 ::v289(){vfunc_called(this, "_ZN7S938C524v289Ev");}
+void  S938C52 ::v290(){vfunc_called(this, "_ZN7S938C524v290Ev");}
+void  S938C52 ::v291(){vfunc_called(this, "_ZN7S938C524v291Ev");}
+void  S938C52 ::v292(){vfunc_called(this, "_ZN7S938C524v292Ev");}
+void  S938C52 ::v293(){vfunc_called(this, "_ZN7S938C524v293Ev");}
+void  S938C52 ::v294(){vfunc_called(this, "_ZN7S938C524v294Ev");}
+void  S938C52 ::v295(){vfunc_called(this, "_ZN7S938C524v295Ev");}
+void  S938C52 ::v296(){vfunc_called(this, "_ZN7S938C524v296Ev");}
+void  S938C52 ::v297(){vfunc_called(this, "_ZN7S938C524v297Ev");}
+void  S938C52 ::v298(){vfunc_called(this, "_ZN7S938C524v298Ev");}
+void  S938C52 ::v299(){vfunc_called(this, "_ZN7S938C524v299Ev");}
+void  S938C52 ::v300(){vfunc_called(this, "_ZN7S938C524v300Ev");}
+void  S938C52 ::v301(){vfunc_called(this, "_ZN7S938C524v301Ev");}
+void  S938C52 ::v302(){vfunc_called(this, "_ZN7S938C524v302Ev");}
+void  S938C52 ::v303(){vfunc_called(this, "_ZN7S938C524v303Ev");}
+void  S938C52 ::v304(){vfunc_called(this, "_ZN7S938C524v304Ev");}
+void  S938C52 ::v305(){vfunc_called(this, "_ZN7S938C524v305Ev");}
+void  S938C52 ::v306(){vfunc_called(this, "_ZN7S938C524v306Ev");}
+void  S938C52 ::v307(){vfunc_called(this, "_ZN7S938C524v307Ev");}
+void  S938C52 ::v308(){vfunc_called(this, "_ZN7S938C524v308Ev");}
+void  S938C52 ::v309(){vfunc_called(this, "_ZN7S938C524v309Ev");}
+S938C52 ::S938C52(){ note_ctor("S938C52", this);} // tgen
+
+static void Test_S938C52()
+{
+  extern Class_Descriptor cd_S938C52;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[2];
+    init_test(&cd_S938C52, buf);
+    S938C52 *dp, &lv = *(dp=new (buf) S938C52());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(8,4), "sizeof(S938C52)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S938C52)");
+    test_class_info(&lv, &cd_S938C52);
+    dp->~S938C52();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS938C52(Test_S938C52, "S938C52", ABISELECT(8,4));
+
+#else // __cplusplus
+
+extern void _ZN7S938C52C1Ev();
+extern void _ZN7S938C52D1Ev();
+Name_Map name_map_S938C52[] = {
+  NSPAIR(_ZN7S938C52C1Ev),
+  NSPAIR(_ZN7S938C52D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI7S938C52[];
+extern void _ZN7S938C52D1Ev();
+extern void _ZN7S938C52D0Ev();
+extern void _ZN7S938C524v268Ev();
+extern void _ZN7S938C524v269Ev();
+extern void _ZN7S938C524v270Ev();
+extern void _ZN7S938C524v271Ev();
+extern void _ZN7S938C524v272Ev();
+extern void _ZN7S938C524v273Ev();
+extern void _ZN7S938C524v274Ev();
+extern void _ZN7S938C524v275Ev();
+extern void _ZN7S938C524v276Ev();
+extern void _ZN7S938C524v277Ev();
+extern void _ZN7S938C524v278Ev();
+extern void _ZN7S938C524v279Ev();
+extern void _ZN7S938C524v280Ev();
+extern void _ZN7S938C524v281Ev();
+extern void _ZN7S938C524v282Ev();
+extern void _ZN7S938C524v283Ev();
+extern void _ZN7S938C524v284Ev();
+extern void _ZN7S938C524v285Ev();
+extern void _ZN7S938C524v286Ev();
+extern void _ZN7S938C524v287Ev();
+extern void _ZN7S938C524v288Ev();
+extern void _ZN7S938C524v289Ev();
+extern void _ZN7S938C524v290Ev();
+extern void _ZN7S938C524v291Ev();
+extern void _ZN7S938C524v292Ev();
+extern void _ZN7S938C524v293Ev();
+extern void _ZN7S938C524v294Ev();
+extern void _ZN7S938C524v295Ev();
+extern void _ZN7S938C524v296Ev();
+extern void _ZN7S938C524v297Ev();
+extern void _ZN7S938C524v298Ev();
+extern void _ZN7S938C524v299Ev();
+extern void _ZN7S938C524v300Ev();
+extern void _ZN7S938C524v301Ev();
+extern void _ZN7S938C524v302Ev();
+extern void _ZN7S938C524v303Ev();
+extern void _ZN7S938C524v304Ev();
+extern void _ZN7S938C524v305Ev();
+extern void _ZN7S938C524v306Ev();
+extern void _ZN7S938C524v307Ev();
+extern void _ZN7S938C524v308Ev();
+extern void _ZN7S938C524v309Ev();
+static  VTBL_ENTRY vtc_S938C52[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI7S938C52[0]),
+  (VTBL_ENTRY)&_ZN7S938C52D1Ev,
+  (VTBL_ENTRY)&_ZN7S938C52D0Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v268Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v269Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v270Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v271Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v272Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v273Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v274Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v275Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v276Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v277Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v278Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v279Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v280Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v281Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v282Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v283Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v284Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v285Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v286Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v287Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v288Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v289Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v290Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v291Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v292Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v293Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v294Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v295Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v296Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v297Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v298Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v299Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v300Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v301Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v302Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v303Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v304Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v305Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v306Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v307Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v308Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v309Ev,
+};
+extern VTBL_ENTRY _ZTI7S938C52[];
+extern  VTBL_ENTRY _ZTV7S938C52[];
+Class_Descriptor cd_S938C52 = {  "S938C52", // class name
+  0,0,//no base classes
+  &(vtc_S938C52[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(8,4), // object size
+  NSPAIRA(_ZTI7S938C52),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV7S938C52),46, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  0, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C53_nt  {
+  virtual  ~S938C53_nt(); // _ZN10S938C53_ntD1Ev
+  virtual void  v310(); // _ZN10S938C53_nt4v310Ev
+  virtual void  v311(); // _ZN10S938C53_nt4v311Ev
+  virtual void  v312(); // _ZN10S938C53_nt4v312Ev
+  virtual void  v313(); // _ZN10S938C53_nt4v313Ev
+  virtual void  v314(); // _ZN10S938C53_nt4v314Ev
+  virtual void  v315(); // _ZN10S938C53_nt4v315Ev
+  virtual void  v316(); // _ZN10S938C53_nt4v316Ev
+  virtual void  v317(); // _ZN10S938C53_nt4v317Ev
+  S938C53_nt(); // tgen
+};
+//SIG(-1 S938C53_nt) C1{ vd v1 v2 v3 v4 v5 v6 v7 v8}
+
+
+ S938C53_nt ::~S938C53_nt(){ note_dtor("S938C53_nt", this);}
+void  S938C53_nt ::v310(){vfunc_called(this, "_ZN10S938C53_nt4v310Ev");}
+void  S938C53_nt ::v311(){vfunc_called(this, "_ZN10S938C53_nt4v311Ev");}
+void  S938C53_nt ::v312(){vfunc_called(this, "_ZN10S938C53_nt4v312Ev");}
+void  S938C53_nt ::v313(){vfunc_called(this, "_ZN10S938C53_nt4v313Ev");}
+void  S938C53_nt ::v314(){vfunc_called(this, "_ZN10S938C53_nt4v314Ev");}
+void  S938C53_nt ::v315(){vfunc_called(this, "_ZN10S938C53_nt4v315Ev");}
+void  S938C53_nt ::v316(){vfunc_called(this, "_ZN10S938C53_nt4v316Ev");}
+void  S938C53_nt ::v317(){vfunc_called(this, "_ZN10S938C53_nt4v317Ev");}
+S938C53_nt ::S938C53_nt(){ note_ctor("S938C53_nt", this);} // tgen
+
+//skip512 S938C53_nt
+
+#else // __cplusplus
+
+extern void _ZN10S938C53_ntC1Ev();
+extern void _ZN10S938C53_ntD1Ev();
+Name_Map name_map_S938C53_nt[] = {
+  NSPAIR(_ZN10S938C53_ntC1Ev),
+  NSPAIR(_ZN10S938C53_ntD1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI10S938C53_nt[];
+extern void _ZN10S938C53_ntD1Ev();
+extern void _ZN10S938C53_ntD0Ev();
+extern void _ZN10S938C53_nt4v310Ev();
+extern void _ZN10S938C53_nt4v311Ev();
+extern void _ZN10S938C53_nt4v312Ev();
+extern void _ZN10S938C53_nt4v313Ev();
+extern void _ZN10S938C53_nt4v314Ev();
+extern void _ZN10S938C53_nt4v315Ev();
+extern void _ZN10S938C53_nt4v316Ev();
+extern void _ZN10S938C53_nt4v317Ev();
+static  VTBL_ENTRY vtc_S938C53_nt[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI10S938C53_nt[0]),
+  (VTBL_ENTRY)&_ZN10S938C53_ntD1Ev,
+  (VTBL_ENTRY)&_ZN10S938C53_ntD0Ev,
+  (VTBL_ENTRY)&_ZN10S938C53_nt4v310Ev,
+  (VTBL_ENTRY)&_ZN10S938C53_nt4v311Ev,
+  (VTBL_ENTRY)&_ZN10S938C53_nt4v312Ev,
+  (VTBL_ENTRY)&_ZN10S938C53_nt4v313Ev,
+  (VTBL_ENTRY)&_ZN10S938C53_nt4v314Ev,
+  (VTBL_ENTRY)&_ZN10S938C53_nt4v315Ev,
+  (VTBL_ENTRY)&_ZN10S938C53_nt4v316Ev,
+  (VTBL_ENTRY)&_ZN10S938C53_nt4v317Ev,
+};
+extern VTBL_ENTRY _ZTI10S938C53_nt[];
+VTBL_ENTRY *P__ZTI10S938C53_nt = _ZTI10S938C53_nt; 
+extern  VTBL_ENTRY _ZTV10S938C53_nt[];
+Class_Descriptor cd_S938C53_nt = {  "S938C53_nt", // class name
+  0,0,//no base classes
+  &(vtc_S938C53_nt[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(8,4), // object size
+  NSPAIRA(_ZTI10S938C53_nt),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV10S938C53_nt),12, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  0, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C55  {
+  int s938c55f0;
+  void *s938c55f1[7];
+  short s938c55f2;
+  char s938c55f3[10];
+};
+//SIG(-1 S938C55) C1{ Fi Fp[7] Fs Fc[10]}
+
+
+
+static void Test_S938C55()
+{
+  {
+    init_simple_test("S938C55");
+    S938C55 lv;
+    check2(sizeof(lv), ABISELECT(80,44), "sizeof(S938C55)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S938C55)");
+    check_field_offset(lv, s938c55f0, 0, "S938C55.s938c55f0");
+    check_field_offset(lv, s938c55f1, ABISELECT(8,4), "S938C55.s938c55f1");
+    check_field_offset(lv, s938c55f2, ABISELECT(64,32), "S938C55.s938c55f2");
+    check_field_offset(lv, s938c55f3, ABISELECT(66,34), "S938C55.s938c55f3");
+  }
+}
+static Arrange_To_Call_Me vS938C55(Test_S938C55, "S938C55", ABISELECT(80,44));
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C56  {
+  ::S938C21_nt s938c56f0;
+  ::S938C21_nt s938c56f1;
+  void  mf938c56(); // _ZN7S938C568mf938c56Ev
+};
+//SIG(-1 S938C56) C1{ m FC2{ m Fp Fi FC3{ m}} FC2}
+
+
+void  S938C56 ::mf938c56(){}
+
+static void Test_S938C56()
+{
+  {
+    init_simple_test("S938C56");
+    S938C56 lv;
+    check2(sizeof(lv), ABISELECT(32,24), "sizeof(S938C56)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S938C56)");
+    check_field_offset(lv, s938c56f0, 0, "S938C56.s938c56f0");
+    check_field_offset(lv, s938c56f1, ABISELECT(16,12), "S938C56.s938c56f1");
+  }
+}
+static Arrange_To_Call_Me vS938C56(Test_S938C56, "S938C56", ABISELECT(32,24));
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C54  {
+  ::S938C55 s938c54f0;
+  void *s938c54f1;
+  char s938c54f2[2];
+  ::S938C56 s938c54f3;
+  void  mf938c54(); // _ZN7S938C548mf938c54Ev
+  virtual  ~S938C54(); // _ZN7S938C54D1Ev
+  S938C54(); // tgen
+};
+//SIG(-1 S938C54) C1{ vd m FC2{ Fi Fp[7] Fs Fc[10]} Fp Fc[2] FC3{ m FC4{ m Fp Fi FC5{ m}} FC4}}
+
+
+void  S938C54 ::mf938c54(){}
+ S938C54 ::~S938C54(){ note_dtor("S938C54", this);}
+S938C54 ::S938C54(){ note_ctor("S938C54", this);} // tgen
+
+static void Test_S938C54()
+{
+  extern Class_Descriptor cd_S938C54;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(18,21)];
+    init_test(&cd_S938C54, buf);
+    S938C54 *dp, &lv = *(dp=new (buf) S938C54());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(136,80), "sizeof(S938C54)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S938C54)");
+    check_field_offset(lv, s938c54f0, ABISELECT(8,4), "S938C54.s938c54f0");
+    check_field_offset(lv, s938c54f1, ABISELECT(88,48), "S938C54.s938c54f1");
+    check_field_offset(lv, s938c54f2, ABISELECT(96,52), "S938C54.s938c54f2");
+    check_field_offset(lv, s938c54f3, ABISELECT(104,56), "S938C54.s938c54f3");
+    test_class_info(&lv, &cd_S938C54);
+    dp->~S938C54();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS938C54(Test_S938C54, "S938C54", ABISELECT(136,80));
+
+#else // __cplusplus
+
+extern void _ZN7S938C54C1Ev();
+extern void _ZN7S938C54D1Ev();
+Name_Map name_map_S938C54[] = {
+  NSPAIR(_ZN7S938C54C1Ev),
+  NSPAIR(_ZN7S938C54D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI7S938C54[];
+extern void _ZN7S938C54D1Ev();
+extern void _ZN7S938C54D0Ev();
+static  VTBL_ENTRY vtc_S938C54[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI7S938C54[0]),
+  (VTBL_ENTRY)&_ZN7S938C54D1Ev,
+  (VTBL_ENTRY)&_ZN7S938C54D0Ev,
+};
+extern VTBL_ENTRY _ZTI7S938C54[];
+extern  VTBL_ENTRY _ZTV7S938C54[];
+Class_Descriptor cd_S938C54 = {  "S938C54", // class name
+  0,0,//no base classes
+  &(vtc_S938C54[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(136,80), // object size
+  NSPAIRA(_ZTI7S938C54),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV7S938C54),4, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C50  : S938C51 , S938C52 , S938C53_nt {
+  char s938c50f0[2];
+  ::S938C54 s938c50f1;
+  ::S938C56 s938c50f2;
+  char s938c50f3[3];
+  ::S938C56 s938c50f4;
+  void *s938c50f5;
+  int s938c50f6[3];
+  char s938c50f7;
+  __tsi64 s938c50f8;
+  void *s938c50f9[5];
+  char s938c50f10;
+  virtual  ~S938C50(); // _ZN7S938C50D1Ev
+  virtual void  v318(); // _ZN7S938C504v318Ev
+  virtual void  v319(); // _ZN7S938C504v319Ev
+  virtual void  v320(); // _ZN7S938C504v320Ev
+  virtual void  v321(); // _ZN7S938C504v321Ev
+  virtual void  v322(); // _ZN7S938C504v322Ev
+  virtual void  v323(); // _ZN7S938C504v323Ev
+  virtual void  v324(); // _ZN7S938C504v324Ev
+  virtual void  v325(); // _ZN7S938C504v325Ev
+  virtual void  v326(); // _ZN7S938C504v326Ev
+  virtual void  v327(); // _ZN7S938C504v327Ev
+  virtual void  v328(); // _ZN7S938C504v328Ev
+  virtual void  v329(); // _ZN7S938C504v329Ev
+  virtual void  v330(); // _ZN7S938C504v330Ev
+  virtual void  v331(); // _ZN7S938C504v331Ev
+  virtual void  v332(); // _ZN7S938C504v332Ev
+  virtual void  v333(); // _ZN7S938C504v333Ev
+  virtual void  v334(); // _ZN7S938C504v334Ev
+  virtual void  v335(); // _ZN7S938C504v335Ev
+  virtual void  v336(); // _ZN7S938C504v336Ev
+  virtual void  v337(); // _ZN7S938C504v337Ev
+  virtual void  v338(); // _ZN7S938C504v338Ev
+  virtual void  v339(); // _ZN7S938C504v339Ev
+  virtual void  v340(); // _ZN7S938C504v340Ev
+  virtual void  v341(); // _ZN7S938C504v341Ev
+  virtual void  v342(); // _ZN7S938C504v342Ev
+  virtual void  v343(); // _ZN7S938C504v343Ev
+  virtual void  v344(); // _ZN7S938C504v344Ev
+  virtual void  v345(); // _ZN7S938C504v345Ev
+  virtual void  v346(); // _ZN7S938C504v346Ev
+  virtual void  v347(); // _ZN7S938C504v347Ev
+  virtual void  v348(); // _ZN7S938C504v348Ev
+  virtual void  v349(); // _ZN7S938C504v349Ev
+  virtual void  v350(); // _ZN7S938C504v350Ev
+  virtual void  v351(); // _ZN7S938C504v351Ev
+  virtual void  v352(); // _ZN7S938C504v352Ev
+  virtual void  v353(); // _ZN7S938C504v353Ev
+  virtual void  v354(); // _ZN7S938C504v354Ev
+  virtual void  v355(); // _ZN7S938C504v355Ev
+  virtual void  v356(); // _ZN7S938C504v356Ev
+  virtual void  v357(); // _ZN7S938C504v357Ev
+  virtual void  v358(); // _ZN7S938C504v358Ev
+  virtual void  v359(); // _ZN7S938C504v359Ev
+  virtual void  v360(); // _ZN7S938C504v360Ev
+  virtual void  v361(); // _ZN7S938C504v361Ev
+  virtual void  v362(); // _ZN7S938C504v362Ev
+  virtual void  v363(); // _ZN7S938C504v363Ev
+  virtual void  v364(); // _ZN7S938C504v364Ev
+  virtual void  v365(); // _ZN7S938C504v365Ev
+  virtual void  v366(); // _ZN7S938C504v366Ev
+  virtual void  v367(); // _ZN7S938C504v367Ev
+  virtual void  v368(); // _ZN7S938C504v368Ev
+  virtual void  v369(); // _ZN7S938C504v369Ev
+  virtual void  v370(); // _ZN7S938C504v370Ev
+  virtual void  v371(); // _ZN7S938C504v371Ev
+  virtual void  v372(); // _ZN7S938C504v372Ev
+  virtual void  v373(); // _ZN7S938C504v373Ev
+  virtual void  v374(); // _ZN7S938C504v374Ev
+  virtual void  v375(); // _ZN7S938C504v375Ev
+  virtual void  v376(); // _ZN7S938C504v376Ev
+  virtual void  v377(); // _ZN7S938C504v377Ev
+  S938C50(); // tgen
+};
+//SIG(-1 S938C50) C1{ BC2{ vd v61 v62 v63 v64 v65 v66} BC3{ vd v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 v78 v79 v80 v81 v82 v83 v84 v85 v86 v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 v102 v103 v104 v105 v106 v107 v108} BC4{ vd v109 v110 v111 v112 v113 v114 v115 v116} vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53 v54 v55 v56 v57 v58 v59 v60 Fc[2] FC5{ vd m FC6{ Fi Fp[7] Fs Fc[10]} Fp Fc[2] FC7{ m FC8{ m Fp Fi FC9{ m}} FC8}} FC7 Fc[3] FC7 Fp Fi[3] Fc FL Fp[5] Fc}
+
+
+ S938C50 ::~S938C50(){ note_dtor("S938C50", this);}
+void  S938C50 ::v318(){vfunc_called(this, "_ZN7S938C504v318Ev");}
+void  S938C50 ::v319(){vfunc_called(this, "_ZN7S938C504v319Ev");}
+void  S938C50 ::v320(){vfunc_called(this, "_ZN7S938C504v320Ev");}
+void  S938C50 ::v321(){vfunc_called(this, "_ZN7S938C504v321Ev");}
+void  S938C50 ::v322(){vfunc_called(this, "_ZN7S938C504v322Ev");}
+void  S938C50 ::v323(){vfunc_called(this, "_ZN7S938C504v323Ev");}
+void  S938C50 ::v324(){vfunc_called(this, "_ZN7S938C504v324Ev");}
+void  S938C50 ::v325(){vfunc_called(this, "_ZN7S938C504v325Ev");}
+void  S938C50 ::v326(){vfunc_called(this, "_ZN7S938C504v326Ev");}
+void  S938C50 ::v327(){vfunc_called(this, "_ZN7S938C504v327Ev");}
+void  S938C50 ::v328(){vfunc_called(this, "_ZN7S938C504v328Ev");}
+void  S938C50 ::v329(){vfunc_called(this, "_ZN7S938C504v329Ev");}
+void  S938C50 ::v330(){vfunc_called(this, "_ZN7S938C504v330Ev");}
+void  S938C50 ::v331(){vfunc_called(this, "_ZN7S938C504v331Ev");}
+void  S938C50 ::v332(){vfunc_called(this, "_ZN7S938C504v332Ev");}
+void  S938C50 ::v333(){vfunc_called(this, "_ZN7S938C504v333Ev");}
+void  S938C50 ::v334(){vfunc_called(this, "_ZN7S938C504v334Ev");}
+void  S938C50 ::v335(){vfunc_called(this, "_ZN7S938C504v335Ev");}
+void  S938C50 ::v336(){vfunc_called(this, "_ZN7S938C504v336Ev");}
+void  S938C50 ::v337(){vfunc_called(this, "_ZN7S938C504v337Ev");}
+void  S938C50 ::v338(){vfunc_called(this, "_ZN7S938C504v338Ev");}
+void  S938C50 ::v339(){vfunc_called(this, "_ZN7S938C504v339Ev");}
+void  S938C50 ::v340(){vfunc_called(this, "_ZN7S938C504v340Ev");}
+void  S938C50 ::v341(){vfunc_called(this, "_ZN7S938C504v341Ev");}
+void  S938C50 ::v342(){vfunc_called(this, "_ZN7S938C504v342Ev");}
+void  S938C50 ::v343(){vfunc_called(this, "_ZN7S938C504v343Ev");}
+void  S938C50 ::v344(){vfunc_called(this, "_ZN7S938C504v344Ev");}
+void  S938C50 ::v345(){vfunc_called(this, "_ZN7S938C504v345Ev");}
+void  S938C50 ::v346(){vfunc_called(this, "_ZN7S938C504v346Ev");}
+void  S938C50 ::v347(){vfunc_called(this, "_ZN7S938C504v347Ev");}
+void  S938C50 ::v348(){vfunc_called(this, "_ZN7S938C504v348Ev");}
+void  S938C50 ::v349(){vfunc_called(this, "_ZN7S938C504v349Ev");}
+void  S938C50 ::v350(){vfunc_called(this, "_ZN7S938C504v350Ev");}
+void  S938C50 ::v351(){vfunc_called(this, "_ZN7S938C504v351Ev");}
+void  S938C50 ::v352(){vfunc_called(this, "_ZN7S938C504v352Ev");}
+void  S938C50 ::v353(){vfunc_called(this, "_ZN7S938C504v353Ev");}
+void  S938C50 ::v354(){vfunc_called(this, "_ZN7S938C504v354Ev");}
+void  S938C50 ::v355(){vfunc_called(this, "_ZN7S938C504v355Ev");}
+void  S938C50 ::v356(){vfunc_called(this, "_ZN7S938C504v356Ev");}
+void  S938C50 ::v357(){vfunc_called(this, "_ZN7S938C504v357Ev");}
+void  S938C50 ::v358(){vfunc_called(this, "_ZN7S938C504v358Ev");}
+void  S938C50 ::v359(){vfunc_called(this, "_ZN7S938C504v359Ev");}
+void  S938C50 ::v360(){vfunc_called(this, "_ZN7S938C504v360Ev");}
+void  S938C50 ::v361(){vfunc_called(this, "_ZN7S938C504v361Ev");}
+void  S938C50 ::v362(){vfunc_called(this, "_ZN7S938C504v362Ev");}
+void  S938C50 ::v363(){vfunc_called(this, "_ZN7S938C504v363Ev");}
+void  S938C50 ::v364(){vfunc_called(this, "_ZN7S938C504v364Ev");}
+void  S938C50 ::v365(){vfunc_called(this, "_ZN7S938C504v365Ev");}
+void  S938C50 ::v366(){vfunc_called(this, "_ZN7S938C504v366Ev");}
+void  S938C50 ::v367(){vfunc_called(this, "_ZN7S938C504v367Ev");}
+void  S938C50 ::v368(){vfunc_called(this, "_ZN7S938C504v368Ev");}
+void  S938C50 ::v369(){vfunc_called(this, "_ZN7S938C504v369Ev");}
+void  S938C50 ::v370(){vfunc_called(this, "_ZN7S938C504v370Ev");}
+void  S938C50 ::v371(){vfunc_called(this, "_ZN7S938C504v371Ev");}
+void  S938C50 ::v372(){vfunc_called(this, "_ZN7S938C504v372Ev");}
+void  S938C50 ::v373(){vfunc_called(this, "_ZN7S938C504v373Ev");}
+void  S938C50 ::v374(){vfunc_called(this, "_ZN7S938C504v374Ev");}
+void  S938C50 ::v375(){vfunc_called(this, "_ZN7S938C504v375Ev");}
+void  S938C50 ::v376(){vfunc_called(this, "_ZN7S938C504v376Ev");}
+void  S938C50 ::v377(){vfunc_called(this, "_ZN7S938C504v377Ev");}
+S938C50 ::S938C50(){ note_ctor("S938C50", this);} // tgen
+
+static void Test_S938C50()
+{
+  extern Class_Descriptor cd_S938C50;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(41,51)];
+    init_test(&cd_S938C50, buf);
+    S938C50 *dp, &lv = *(dp=new (buf) S938C50());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(320,200), "sizeof(S938C50)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S938C50)");
+    check_base_class_offset(lv, (S938C51*), 0, "S938C50");
+    check_base_class_offset(lv, (S938C52*), ABISELECT(8,4), "S938C50");
+    check_base_class_offset(lv, (S938C53_nt*), ABISELECT(16,8), "S938C50");
+    check_field_offset(lv, s938c50f0, ABISELECT(24,12), "S938C50.s938c50f0");
+    check_field_offset(lv, s938c50f1, ABISELECT(32,16), "S938C50.s938c50f1");
+    check_field_offset(lv, s938c50f2, ABISELECT(168,96), "S938C50.s938c50f2");
+    check_field_offset(lv, s938c50f3, ABISELECT(200,120), "S938C50.s938c50f3");
+    check_field_offset(lv, s938c50f4, ABISELECT(208,124), "S938C50.s938c50f4");
+    check_field_offset(lv, s938c50f5, ABISELECT(240,148), "S938C50.s938c50f5");
+    check_field_offset(lv, s938c50f6, ABISELECT(248,152), "S938C50.s938c50f6");
+    check_field_offset(lv, s938c50f7, ABISELECT(260,164), "S938C50.s938c50f7");
+    check_field_offset(lv, s938c50f8, ABISELECT(264,168), "S938C50.s938c50f8");
+    check_field_offset(lv, s938c50f9, ABISELECT(272,176), "S938C50.s938c50f9");
+    check_field_offset(lv, s938c50f10, ABISELECT(312,196), "S938C50.s938c50f10");
+    test_class_info(&lv, &cd_S938C50);
+    dp->~S938C50();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS938C50(Test_S938C50, "S938C50", ABISELECT(320,200));
+
+#else // __cplusplus
+
+extern void _ZN7S938C50C1Ev();
+extern void _ZN7S938C50D1Ev();
+Name_Map name_map_S938C50[] = {
+  NSPAIR(_ZN7S938C50C1Ev),
+  NSPAIR(_ZN7S938C50D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S938C51;
+extern VTBL_ENTRY _ZTI7S938C51[];
+extern  VTBL_ENTRY _ZTV7S938C51[];
+extern Class_Descriptor cd_S938C52;
+extern VTBL_ENTRY _ZTI7S938C52[];
+extern  VTBL_ENTRY _ZTV7S938C52[];
+extern Class_Descriptor cd_S938C53_nt;
+extern VTBL_ENTRY _ZTI10S938C53_nt[];
+extern  VTBL_ENTRY _ZTV10S938C53_nt[];
+static Base_Class bases_S938C50[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S938C51,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {&cd_S938C52,    ABISELECT(8,4), //bcp->offset
+    70, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    2, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {&cd_S938C53_nt,    ABISELECT(16,8), //bcp->offset
+    116, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    3, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI7S938C50[];
+extern void _ZN7S938C50D1Ev();
+extern void _ZN7S938C50D0Ev();
+extern void _ZN7S938C514v262Ev();
+extern void _ZN7S938C514v263Ev();
+extern void _ZN7S938C514v264Ev();
+extern void _ZN7S938C514v265Ev();
+extern void _ZN7S938C514v266Ev();
+extern void _ZN7S938C514v267Ev();
+extern void _ZN7S938C504v318Ev();
+extern void _ZN7S938C504v319Ev();
+extern void _ZN7S938C504v320Ev();
+extern void _ZN7S938C504v321Ev();
+extern void _ZN7S938C504v322Ev();
+extern void _ZN7S938C504v323Ev();
+extern void _ZN7S938C504v324Ev();
+extern void _ZN7S938C504v325Ev();
+extern void _ZN7S938C504v326Ev();
+extern void _ZN7S938C504v327Ev();
+extern void _ZN7S938C504v328Ev();
+extern void _ZN7S938C504v329Ev();
+extern void _ZN7S938C504v330Ev();
+extern void _ZN7S938C504v331Ev();
+extern void _ZN7S938C504v332Ev();
+extern void _ZN7S938C504v333Ev();
+extern void _ZN7S938C504v334Ev();
+extern void _ZN7S938C504v335Ev();
+extern void _ZN7S938C504v336Ev();
+extern void _ZN7S938C504v337Ev();
+extern void _ZN7S938C504v338Ev();
+extern void _ZN7S938C504v339Ev();
+extern void _ZN7S938C504v340Ev();
+extern void _ZN7S938C504v341Ev();
+extern void _ZN7S938C504v342Ev();
+extern void _ZN7S938C504v343Ev();
+extern void _ZN7S938C504v344Ev();
+extern void _ZN7S938C504v345Ev();
+extern void _ZN7S938C504v346Ev();
+extern void _ZN7S938C504v347Ev();
+extern void _ZN7S938C504v348Ev();
+extern void _ZN7S938C504v349Ev();
+extern void _ZN7S938C504v350Ev();
+extern void _ZN7S938C504v351Ev();
+extern void _ZN7S938C504v352Ev();
+extern void _ZN7S938C504v353Ev();
+extern void _ZN7S938C504v354Ev();
+extern void _ZN7S938C504v355Ev();
+extern void _ZN7S938C504v356Ev();
+extern void _ZN7S938C504v357Ev();
+extern void _ZN7S938C504v358Ev();
+extern void _ZN7S938C504v359Ev();
+extern void _ZN7S938C504v360Ev();
+extern void _ZN7S938C504v361Ev();
+extern void _ZN7S938C504v362Ev();
+extern void _ZN7S938C504v363Ev();
+extern void _ZN7S938C504v364Ev();
+extern void _ZN7S938C504v365Ev();
+extern void _ZN7S938C504v366Ev();
+extern void _ZN7S938C504v367Ev();
+extern void _ZN7S938C504v368Ev();
+extern void _ZN7S938C504v369Ev();
+extern void _ZN7S938C504v370Ev();
+extern void _ZN7S938C504v371Ev();
+extern void _ZN7S938C504v372Ev();
+extern void _ZN7S938C504v373Ev();
+extern void _ZN7S938C504v374Ev();
+extern void _ZN7S938C504v375Ev();
+extern void _ZN7S938C504v376Ev();
+extern void _ZN7S938C504v377Ev();
+extern void ABISELECT(_ZThn8_N7S938C50D1Ev,_ZThn4_N7S938C50D1Ev)();
+extern void ABISELECT(_ZThn8_N7S938C50D0Ev,_ZThn4_N7S938C50D0Ev)();
+extern void _ZN7S938C524v268Ev();
+extern void _ZN7S938C524v269Ev();
+extern void _ZN7S938C524v270Ev();
+extern void _ZN7S938C524v271Ev();
+extern void _ZN7S938C524v272Ev();
+extern void _ZN7S938C524v273Ev();
+extern void _ZN7S938C524v274Ev();
+extern void _ZN7S938C524v275Ev();
+extern void _ZN7S938C524v276Ev();
+extern void _ZN7S938C524v277Ev();
+extern void _ZN7S938C524v278Ev();
+extern void _ZN7S938C524v279Ev();
+extern void _ZN7S938C524v280Ev();
+extern void _ZN7S938C524v281Ev();
+extern void _ZN7S938C524v282Ev();
+extern void _ZN7S938C524v283Ev();
+extern void _ZN7S938C524v284Ev();
+extern void _ZN7S938C524v285Ev();
+extern void _ZN7S938C524v286Ev();
+extern void _ZN7S938C524v287Ev();
+extern void _ZN7S938C524v288Ev();
+extern void _ZN7S938C524v289Ev();
+extern void _ZN7S938C524v290Ev();
+extern void _ZN7S938C524v291Ev();
+extern void _ZN7S938C524v292Ev();
+extern void _ZN7S938C524v293Ev();
+extern void _ZN7S938C524v294Ev();
+extern void _ZN7S938C524v295Ev();
+extern void _ZN7S938C524v296Ev();
+extern void _ZN7S938C524v297Ev();
+extern void _ZN7S938C524v298Ev();
+extern void _ZN7S938C524v299Ev();
+extern void _ZN7S938C524v300Ev();
+extern void _ZN7S938C524v301Ev();
+extern void _ZN7S938C524v302Ev();
+extern void _ZN7S938C524v303Ev();
+extern void _ZN7S938C524v304Ev();
+extern void _ZN7S938C524v305Ev();
+extern void _ZN7S938C524v306Ev();
+extern void _ZN7S938C524v307Ev();
+extern void _ZN7S938C524v308Ev();
+extern void _ZN7S938C524v309Ev();
+extern void ABISELECT(_ZThn16_N7S938C50D1Ev,_ZThn8_N7S938C50D1Ev)();
+extern void ABISELECT(_ZThn16_N7S938C50D0Ev,_ZThn8_N7S938C50D0Ev)();
+extern void _ZN10S938C53_nt4v310Ev();
+extern void _ZN10S938C53_nt4v311Ev();
+extern void _ZN10S938C53_nt4v312Ev();
+extern void _ZN10S938C53_nt4v313Ev();
+extern void _ZN10S938C53_nt4v314Ev();
+extern void _ZN10S938C53_nt4v315Ev();
+extern void _ZN10S938C53_nt4v316Ev();
+extern void _ZN10S938C53_nt4v317Ev();
+static  VTBL_ENTRY vtc_S938C50[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI7S938C50[0]),
+  (VTBL_ENTRY)&_ZN7S938C50D1Ev,
+  (VTBL_ENTRY)&_ZN7S938C50D0Ev,
+  (VTBL_ENTRY)&_ZN7S938C514v262Ev,
+  (VTBL_ENTRY)&_ZN7S938C514v263Ev,
+  (VTBL_ENTRY)&_ZN7S938C514v264Ev,
+  (VTBL_ENTRY)&_ZN7S938C514v265Ev,
+  (VTBL_ENTRY)&_ZN7S938C514v266Ev,
+  (VTBL_ENTRY)&_ZN7S938C514v267Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v318Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v319Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v320Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v321Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v322Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v323Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v324Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v325Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v326Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v327Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v328Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v329Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v330Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v331Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v332Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v333Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v334Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v335Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v336Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v337Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v338Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v339Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v340Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v341Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v342Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v343Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v344Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v345Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v346Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v347Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v348Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v349Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v350Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v351Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v352Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v353Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v354Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v355Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v356Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v357Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v358Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v359Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v360Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v361Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v362Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v363Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v364Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v365Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v366Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v367Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v368Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v369Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v370Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v371Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v372Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v373Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v374Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v375Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v376Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v377Ev,
+  ABISELECT(-8,-4),
+  (VTBL_ENTRY)&(_ZTI7S938C50[0]),
+  (VTBL_ENTRY)&ABISELECT(_ZThn8_N7S938C50D1Ev,_ZThn4_N7S938C50D1Ev),
+  (VTBL_ENTRY)&ABISELECT(_ZThn8_N7S938C50D0Ev,_ZThn4_N7S938C50D0Ev),
+  (VTBL_ENTRY)&_ZN7S938C524v268Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v269Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v270Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v271Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v272Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v273Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v274Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v275Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v276Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v277Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v278Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v279Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v280Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v281Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v282Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v283Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v284Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v285Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v286Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v287Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v288Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v289Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v290Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v291Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v292Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v293Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v294Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v295Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v296Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v297Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v298Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v299Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v300Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v301Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v302Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v303Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v304Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v305Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v306Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v307Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v308Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v309Ev,
+  ABISELECT(-16,-8),
+  (VTBL_ENTRY)&(_ZTI7S938C50[0]),
+  (VTBL_ENTRY)&ABISELECT(_ZThn16_N7S938C50D1Ev,_ZThn8_N7S938C50D1Ev),
+  (VTBL_ENTRY)&ABISELECT(_ZThn16_N7S938C50D0Ev,_ZThn8_N7S938C50D0Ev),
+  (VTBL_ENTRY)&_ZN10S938C53_nt4v310Ev,
+  (VTBL_ENTRY)&_ZN10S938C53_nt4v311Ev,
+  (VTBL_ENTRY)&_ZN10S938C53_nt4v312Ev,
+  (VTBL_ENTRY)&_ZN10S938C53_nt4v313Ev,
+  (VTBL_ENTRY)&_ZN10S938C53_nt4v314Ev,
+  (VTBL_ENTRY)&_ZN10S938C53_nt4v315Ev,
+  (VTBL_ENTRY)&_ZN10S938C53_nt4v316Ev,
+  (VTBL_ENTRY)&_ZN10S938C53_nt4v317Ev,
+};
+extern VTBL_ENTRY _ZTI7S938C50[];
+extern  VTBL_ENTRY _ZTV7S938C50[];
+Class_Descriptor cd_S938C50 = {  "S938C50", // class name
+  bases_S938C50, 3,
+  &(vtc_S938C50[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(320,200), // object size
+  NSPAIRA(_ZTI7S938C50),ABISELECT(72,40), //typeinfo_var
+  NSPAIRA(_ZTV7S938C50),128, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  3, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C59  {
+  virtual  ~S938C59(); // _ZN7S938C59D1Ev
+  virtual void  v378(); // _ZN7S938C594v378Ev
+  virtual void  v379(); // _ZN7S938C594v379Ev
+  virtual void  v380(); // _ZN7S938C594v380Ev
+  S938C59(); // tgen
+};
+//SIG(-1 S938C59) C1{ vd v1 v2 v3}
+
+
+ S938C59 ::~S938C59(){ note_dtor("S938C59", this);}
+void  S938C59 ::v378(){vfunc_called(this, "_ZN7S938C594v378Ev");}
+void  S938C59 ::v379(){vfunc_called(this, "_ZN7S938C594v379Ev");}
+void  S938C59 ::v380(){vfunc_called(this, "_ZN7S938C594v380Ev");}
+S938C59 ::S938C59(){ note_ctor("S938C59", this);} // tgen
+
+static void Test_S938C59()
+{
+  extern Class_Descriptor cd_S938C59;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[2];
+    init_test(&cd_S938C59, buf);
+    S938C59 *dp, &lv = *(dp=new (buf) S938C59());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(8,4), "sizeof(S938C59)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S938C59)");
+    test_class_info(&lv, &cd_S938C59);
+    dp->~S938C59();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS938C59(Test_S938C59, "S938C59", ABISELECT(8,4));
+
+#else // __cplusplus
+
+extern void _ZN7S938C59C1Ev();
+extern void _ZN7S938C59D1Ev();
+Name_Map name_map_S938C59[] = {
+  NSPAIR(_ZN7S938C59C1Ev),
+  NSPAIR(_ZN7S938C59D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI7S938C59[];
+extern void _ZN7S938C59D1Ev();
+extern void _ZN7S938C59D0Ev();
+extern void _ZN7S938C594v378Ev();
+extern void _ZN7S938C594v379Ev();
+extern void _ZN7S938C594v380Ev();
+static  VTBL_ENTRY vtc_S938C59[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI7S938C59[0]),
+  (VTBL_ENTRY)&_ZN7S938C59D1Ev,
+  (VTBL_ENTRY)&_ZN7S938C59D0Ev,
+  (VTBL_ENTRY)&_ZN7S938C594v378Ev,
+  (VTBL_ENTRY)&_ZN7S938C594v379Ev,
+  (VTBL_ENTRY)&_ZN7S938C594v380Ev,
+};
+extern VTBL_ENTRY _ZTI7S938C59[];
+extern  VTBL_ENTRY _ZTV7S938C59[];
+Class_Descriptor cd_S938C59 = {  "S938C59", // class name
+  0,0,//no base classes
+  &(vtc_S938C59[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(8,4), // object size
+  NSPAIRA(_ZTI7S938C59),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV7S938C59),7, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  0, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C60  {
+  ::S938C15_nt s938c60f0;
+  void  mf938c60(); // _ZN7S938C608mf938c60Ev
+};
+//SIG(-1 S938C60) C1{ m FC2{ m FL[2]}}
+
+
+void  S938C60 ::mf938c60(){}
+
+static void Test_S938C60()
+{
+  {
+    init_simple_test("S938C60");
+    S938C60 lv;
+    check2(sizeof(lv), 16, "sizeof(S938C60)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S938C60)");
+    check_field_offset(lv, s938c60f0, 0, "S938C60.s938c60f0");
+  }
+}
+static Arrange_To_Call_Me vS938C60(Test_S938C60, "S938C60", 16);
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C62_nt  {
+  void *s938c62f0[2];
+  void  mf938c62(); // _ZN10S938C62_nt8mf938c62Ev
+};
+//SIG(-1 S938C62_nt) C1{ m Fp[2]}
+
+
+void  S938C62_nt ::mf938c62(){}
+
+//skip512 S938C62_nt
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C63  {
+  void *s938c63f0;
+  int s938c63f1[5];
+  ::S938C56 s938c63f2;
+  ::S938C56 s938c63f3;
+  ::S938C56 s938c63f4;
+  void *s938c63f5;
+  void  mf938c63(); // _ZN7S938C638mf938c63Ev
+};
+//SIG(-1 S938C63) C1{ m Fp Fi[5] FC2{ m FC3{ m Fp Fi FC4{ m}} FC3} FC2 FC2 Fp}
+
+
+void  S938C63 ::mf938c63(){}
+
+static void Test_S938C63()
+{
+  {
+    init_simple_test("S938C63");
+    S938C63 lv;
+    check2(sizeof(lv), ABISELECT(136,100), "sizeof(S938C63)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S938C63)");
+    check_field_offset(lv, s938c63f0, 0, "S938C63.s938c63f0");
+    check_field_offset(lv, s938c63f1, ABISELECT(8,4), "S938C63.s938c63f1");
+    check_field_offset(lv, s938c63f2, ABISELECT(32,24), "S938C63.s938c63f2");
+    check_field_offset(lv, s938c63f3, ABISELECT(64,48), "S938C63.s938c63f3");
+    check_field_offset(lv, s938c63f4, ABISELECT(96,72), "S938C63.s938c63f4");
+    check_field_offset(lv, s938c63f5, ABISELECT(128,96), "S938C63.s938c63f5");
+  }
+}
+static Arrange_To_Call_Me vS938C63(Test_S938C63, "S938C63", ABISELECT(136,100));
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C65  {
+  short s938c65f0[6];
+  int s938c65f1[2];
+  char s938c65f2;
+  short s938c65f3;
+  void  mf938c65(); // _ZN7S938C658mf938c65Ev
+};
+//SIG(-1 S938C65) C1{ m Fs[6] Fi[2] Fc Fs}
+
+
+void  S938C65 ::mf938c65(){}
+
+static void Test_S938C65()
+{
+  {
+    init_simple_test("S938C65");
+    S938C65 lv;
+    check2(sizeof(lv), 24, "sizeof(S938C65)");
+    check2(__alignof__(lv), 4, "__alignof__(S938C65)");
+    check_field_offset(lv, s938c65f0, 0, "S938C65.s938c65f0");
+    check_field_offset(lv, s938c65f1, 12, "S938C65.s938c65f1");
+    check_field_offset(lv, s938c65f2, 20, "S938C65.s938c65f2");
+    check_field_offset(lv, s938c65f3, 22, "S938C65.s938c65f3");
+  }
+}
+static Arrange_To_Call_Me vS938C65(Test_S938C65, "S938C65", 24);
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C64  {
+  ::S938C56 s938c64f0;
+  ::S938C56 s938c64f1;
+  ::S938C65 s938c64f2;
+  ::S938C65 s938c64f3;
+  ::S938C56 s938c64f4;
+};
+//SIG(-1 S938C64) C1{ FC2{ m FC3{ m Fp Fi FC4{ m}} FC3} FC2 FC5{ m Fs[6] Fi[2] Fc Fs} FC5 FC2}
+
+
+
+static void Test_S938C64()
+{
+  {
+    init_simple_test("S938C64");
+    S938C64 lv;
+    check2(sizeof(lv), ABISELECT(144,120), "sizeof(S938C64)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S938C64)");
+    check_field_offset(lv, s938c64f0, 0, "S938C64.s938c64f0");
+    check_field_offset(lv, s938c64f1, ABISELECT(32,24), "S938C64.s938c64f1");
+    check_field_offset(lv, s938c64f2, ABISELECT(64,48), "S938C64.s938c64f2");
+    check_field_offset(lv, s938c64f3, ABISELECT(88,72), "S938C64.s938c64f3");
+    check_field_offset(lv, s938c64f4, ABISELECT(112,96), "S938C64.s938c64f4");
+  }
+}
+static Arrange_To_Call_Me vS938C64(Test_S938C64, "S938C64", ABISELECT(144,120));
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C68  {
+  void  mf938c68(); // _ZN7S938C688mf938c68Ev
+};
+//SIG(-1 S938C68) C1{ m}
+
+
+void  S938C68 ::mf938c68(){}
+
+static void Test_S938C68()
+{
+  {
+    init_simple_test("S938C68");
+    S938C68 lv;
+    check2(sizeof(lv), 1, "sizeof(S938C68)");
+    check2(__alignof__(lv), 1, "__alignof__(S938C68)");
+  }
+}
+static Arrange_To_Call_Me vS938C68(Test_S938C68, "S938C68", 1);
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C66  {
+  void *s938c66f0;
+  int s938c66f1;
+  ::S938C48 s938c66f2;
+  ::S938C68 s938c66f3;
+  void  mf938c66(); // _ZN7S938C668mf938c66Ev
+};
+//SIG(-1 S938C66) C1{ m Fp Fi FC2{ m} FC3{ m}}
+
+
+void  S938C66 ::mf938c66(){}
+
+static void Test_S938C66()
+{
+  {
+    init_simple_test("S938C66");
+    S938C66 lv;
+    check2(sizeof(lv), ABISELECT(16,12), "sizeof(S938C66)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S938C66)");
+    check_field_offset(lv, s938c66f0, 0, "S938C66.s938c66f0");
+    check_field_offset(lv, s938c66f1, ABISELECT(8,4), "S938C66.s938c66f1");
+    check_field_offset(lv, s938c66f2, ABISELECT(12,8), "S938C66.s938c66f2");
+    check_field_offset(lv, s938c66f3, ABISELECT(13,9), "S938C66.s938c66f3");
+  }
+}
+static Arrange_To_Call_Me vS938C66(Test_S938C66, "S938C66", ABISELECT(16,12));
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S938C1  : S938C2 , S938C50 , S938C59 {
+  ::S938C40_nt s938c1f0;
+  ::S938C14_nt s938c1f1;
+  ::S938C60 s938c1f2;
+  ::S938C40_nt s938c1f3;
+  int s938c1f4;
+  void *s938c1f5;
+  char s938c1f6;
+  ::S938C40_nt s938c1f7;
+  ::S938C40_nt s938c1f8;
+  ::S938C40_nt s938c1f9;
+  ::S938C40_nt s938c1f10;
+  char s938c1f11;
+  void *s938c1f12[2];
+  ::S938C62_nt s938c1f13;
+  ::S938C63 s938c1f14;
+  int s938c1f15;
+  ::S938C64 s938c1f16;
+  char s938c1f17;
+  ::S938C56 s938c1f18;
+  int s938c1f19[5];
+  char s938c1f20;
+  int s938c1f21[2];
+  ::S938C14_nt s938c1f22;
+  ::S938C14_nt s938c1f23;
+  ::S938C14_nt s938c1f24;
+  void *s938c1f25[2];
+  int s938c1f26[2];
+  char s938c1f27;
+  int s938c1f28;
+  char s938c1f29;
+  int s938c1f30;
+  char s938c1f31;
+  ::S938C66 s938c1f32;
+  ::S938C66 s938c1f33;
+  virtual  ~S938C1(); // _ZN6S938C1D1Ev
+  virtual void  v1(); // _ZN6S938C12v1Ev
+  virtual void  v2(); // _ZN6S938C12v2Ev
+  virtual void  v3(); // _ZN6S938C12v3Ev
+  virtual void  v4(); // _ZN6S938C12v4Ev
+  virtual void  v5(); // _ZN6S938C12v5Ev
+  virtual void  v6(); // _ZN6S938C12v6Ev
+  virtual void  v7(); // _ZN6S938C12v7Ev
+  virtual void  v8(); // _ZN6S938C12v8Ev
+  virtual void  v9(); // _ZN6S938C12v9Ev
+  virtual void  v10(); // _ZN6S938C13v10Ev
+  virtual void  v11(); // _ZN6S938C13v11Ev
+  virtual void  v12(); // _ZN6S938C13v12Ev
+  virtual void  v13(); // _ZN6S938C13v13Ev
+  virtual void  v14(); // _ZN6S938C13v14Ev
+  virtual void  v15(); // _ZN6S938C13v15Ev
+  virtual void  v16(); // _ZN6S938C13v16Ev
+  virtual void  v17(); // _ZN6S938C13v17Ev
+  virtual void  v18(); // _ZN6S938C13v18Ev
+  virtual void  v19(); // _ZN6S938C13v19Ev
+  virtual void  v20(); // _ZN6S938C13v20Ev
+  virtual void  v21(); // _ZN6S938C13v21Ev
+  virtual void  v22(); // _ZN6S938C13v22Ev
+  virtual void  v23(); // _ZN6S938C13v23Ev
+  virtual void  v24(); // _ZN6S938C13v24Ev
+  virtual void  v25(); // _ZN6S938C13v25Ev
+  virtual void  v26(); // _ZN6S938C13v26Ev
+  virtual void  v27(); // _ZN6S938C13v27Ev
+  virtual void  v28(); // _ZN6S938C13v28Ev
+  virtual void  v29(); // _ZN6S938C13v29Ev
+  virtual void  v30(); // _ZN6S938C13v30Ev
+  virtual void  v31(); // _ZN6S938C13v31Ev
+  virtual void  v32(); // _ZN6S938C13v32Ev
+  virtual void  v33(); // _ZN6S938C13v33Ev
+  virtual void  v34(); // _ZN6S938C13v34Ev
+  virtual void  v35(); // _ZN6S938C13v35Ev
+  virtual void  v36(); // _ZN6S938C13v36Ev
+  virtual void  v37(); // _ZN6S938C13v37Ev
+  virtual void  v38(); // _ZN6S938C13v38Ev
+  virtual void  v39(); // _ZN6S938C13v39Ev
+  virtual void  v40(); // _ZN6S938C13v40Ev
+  virtual void  v41(); // _ZN6S938C13v41Ev
+  virtual void  v42(); // _ZN6S938C13v42Ev
+  virtual void  v43(); // _ZN6S938C13v43Ev
+  virtual void  v44(); // _ZN6S938C13v44Ev
+  virtual void  v45(); // _ZN6S938C13v45Ev
+  virtual void  v46(); // _ZN6S938C13v46Ev
+  virtual void  v47(); // _ZN6S938C13v47Ev
+  virtual void  v48(); // _ZN6S938C13v48Ev
+  virtual void  v49(); // _ZN6S938C13v49Ev
+  virtual void  v50(); // _ZN6S938C13v50Ev
+  virtual void  v51(); // _ZN6S938C13v51Ev
+  virtual void  v52(); // _ZN6S938C13v52Ev
+  virtual void  v53(); // _ZN6S938C13v53Ev
+  virtual void  v54(); // _ZN6S938C13v54Ev
+  virtual void  v55(); // _ZN6S938C13v55Ev
+  virtual void  v56(); // _ZN6S938C13v56Ev
+  virtual void  v57(); // _ZN6S938C13v57Ev
+  virtual void  v58(); // _ZN6S938C13v58Ev
+  virtual void  v59(); // _ZN6S938C13v59Ev
+  virtual void  v60(); // _ZN6S938C13v60Ev
+  virtual void  v61(); // _ZN6S938C13v61Ev
+  virtual void  v62(); // _ZN6S938C13v62Ev
+  virtual void  v63(); // _ZN6S938C13v63Ev
+  virtual void  v64(); // _ZN6S938C13v64Ev
+  virtual void  v65(); // _ZN6S938C13v65Ev
+  S938C1(); // tgen
+};
+//SIG(1 S938C1) C1{ BC2{ BC3{ BC4{ BC5{ vd v66 v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 v78 v79 v80 v81 v82 v83 v84 v85 v86 v87 v88 Fp Fi FC6{ BC7{ m Fp Fi FC8{ m} FC8}} FC6 Fi} vd v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 v102 v103 v104 v105 v106 v107 v108 v109 v110 v111 v112 v113 v114 v115 v116 v117 v118 v119 v120 v121 v122 v123 v124 v125 v126 v127 v128 v129 v130 v131 v132 v133 v134 v135 v136 v137 v138 v139 v140 v141 v142 v143 v144 v145 v146 v147 v148 v149 v150 v151 v152 v153 v154 v155 v156 v157 v158 v159 v160 v161 v162 v163 v164 v165 v166 FC9{ m FC10{ m FL[2]}} FC9 FC9 FC9 FC11{ m FC9} FC12{ m FC9 FC9 FC9 FC9} FC12 FC9 FC9 FC9 Fp FC11 FC13{ m FC14{ m Fp Fi FC15{ m}} Fi} Fi Fp[7] FC16{ m Fp Fi FC15 FC17{ m}} Fi:8 Fi:8 Fi:16 Fi:16 Fi:8 Fi:8 Fi:8 Fi:32 Fi:8 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 !
 Fc:1 Fc:1
  Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fi[7] Fp[6] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 FC18{ BC19{ m Fp Fi FC17 FC20{ m}}} Fp[4] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:16 Fi:16 Fi:16 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:8 Fi:8 Fi:8 Fp[7] FC21{ m Fp Fi[2] FC20} Fp[2] Fi:16 Fi:16 FC18 FC21 FC22{ m Fp Fi[2] FC23{ m}} Fp[2] Fi:24 Fi:8 Fp} vd v167 v168 v169 v170 v171 v172 v173 v174 v175 v176 v177 v178 v179 v180 v181 v182 v183 v184 v185 v186 v187 v188 v189 v190 v191 v192 v193 v194 v195 v196 v197 v198 v199 v200 v201 v202 v203 v204 v205 v206 v207 v208 v209 v210 v211 v212 v213 v214 v215 v216 v217 v218 v219 v220 v221 v222 v223 v224 v225 v226 v227 v228 v229 v230 v231 v232 v233 v234 v235 v236 v237 v238 v239 v240 v241 v242 v243 v244 v245 v246 v247 v248 v249 v250 v251 v252 Fi[2] FC24{ m FC25{ m FL}} Fp[4] FC9 FC9 FC9 FC24 FC9 FC24 FC24 FC9 FC9 FC9 FC24 FC9 FC9 Fi[7] FC11 FC24 FC24 FC24 Fp Fi Fp[4] Fc[2] FC16 Fi[8] Fc[6] Fi Fc Fi[2] Fc[2]} vd v253 v254 v255 v256 v257 v258 v259 !
 v260 v261
  FC26{ BC27{ m Fp Fi FC23 FC28{ m}}} FC22 Fc[2] FC24 FC24 FC9} BC29{ BC30{ vd v262 v263 v264 v265 v266 v267} BC31{ vd v268 v269 v270 v271 v272 v273 v274 v275 v276 v277 v278 v279 v280 v281 v282 v283 v284 v285 v286 v287 v288 v289 v290 v291 v292 v293 v294 v295 v296 v297 v298 v299 v300 v301 v302 v303 v304 v305 v306 v307 v308 v309} BC32{ vd v310 v311 v312 v313 v314 v315 v316 v317} vd v318 v319 v320 v321 v322 v323 v324 v325 v326 v327 v328 v329 v330 v331 v332 v333 v334 v335 v336 v337 v338 v339 v340 v341 v342 v343 v344 v345 v346 v347 v348 v349 v350 v351 v352 v353 v354 v355 v356 v357 v358 v359 v360 v361 v362 v363 v364 v365 v366 v367 v368 v369 v370 v371 v372 v373 v374 v375 v376 v377 Fc[2] FC33{ vd m FC34{ Fi Fp[7] Fs Fc[10]} Fp Fc[2] FC35{ m FC14 FC14}} FC35 Fc[3] FC35 Fp Fi[3] Fc FL Fp[5] Fc} BC36{ vd v378 v379 v380} vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v4!
 4 v45 v46
  v47 v48 v49 v50 v51 v52 v53 v54 v55 v56 v57 v58 v59 v60 v61 v62 v63 v64 v65 FC24 FC9 FC37{ m FC10} FC24 Fi Fp Fc FC24 FC24 FC24 FC24 Fc Fp[2] FC38{ m Fp[2]} FC39{ m Fp Fi[5] FC35 FC35 FC35 Fp} Fi FC40{ FC35 FC35 FC41{ m Fs[6] Fi[2] Fc Fs} FC41 FC35} Fc FC35 Fi[5] Fc Fi[2] FC9 FC9 FC9 Fp[2] Fi[2] Fc Fi Fc Fi Fc FC42{ m Fp Fi FC28 FC43{ m}} FC42}
+
+
+ S938C1 ::~S938C1(){ note_dtor("S938C1", this);}
+void  S938C1 ::v1(){vfunc_called(this, "_ZN6S938C12v1Ev");}
+void  S938C1 ::v2(){vfunc_called(this, "_ZN6S938C12v2Ev");}
+void  S938C1 ::v3(){vfunc_called(this, "_ZN6S938C12v3Ev");}
+void  S938C1 ::v4(){vfunc_called(this, "_ZN6S938C12v4Ev");}
+void  S938C1 ::v5(){vfunc_called(this, "_ZN6S938C12v5Ev");}
+void  S938C1 ::v6(){vfunc_called(this, "_ZN6S938C12v6Ev");}
+void  S938C1 ::v7(){vfunc_called(this, "_ZN6S938C12v7Ev");}
+void  S938C1 ::v8(){vfunc_called(this, "_ZN6S938C12v8Ev");}
+void  S938C1 ::v9(){vfunc_called(this, "_ZN6S938C12v9Ev");}
+void  S938C1 ::v10(){vfunc_called(this, "_ZN6S938C13v10Ev");}
+void  S938C1 ::v11(){vfunc_called(this, "_ZN6S938C13v11Ev");}
+void  S938C1 ::v12(){vfunc_called(this, "_ZN6S938C13v12Ev");}
+void  S938C1 ::v13(){vfunc_called(this, "_ZN6S938C13v13Ev");}
+void  S938C1 ::v14(){vfunc_called(this, "_ZN6S938C13v14Ev");}
+void  S938C1 ::v15(){vfunc_called(this, "_ZN6S938C13v15Ev");}
+void  S938C1 ::v16(){vfunc_called(this, "_ZN6S938C13v16Ev");}
+void  S938C1 ::v17(){vfunc_called(this, "_ZN6S938C13v17Ev");}
+void  S938C1 ::v18(){vfunc_called(this, "_ZN6S938C13v18Ev");}
+void  S938C1 ::v19(){vfunc_called(this, "_ZN6S938C13v19Ev");}
+void  S938C1 ::v20(){vfunc_called(this, "_ZN6S938C13v20Ev");}
+void  S938C1 ::v21(){vfunc_called(this, "_ZN6S938C13v21Ev");}
+void  S938C1 ::v22(){vfunc_called(this, "_ZN6S938C13v22Ev");}
+void  S938C1 ::v23(){vfunc_called(this, "_ZN6S938C13v23Ev");}
+void  S938C1 ::v24(){vfunc_called(this, "_ZN6S938C13v24Ev");}
+void  S938C1 ::v25(){vfunc_called(this, "_ZN6S938C13v25Ev");}
+void  S938C1 ::v26(){vfunc_called(this, "_ZN6S938C13v26Ev");}
+void  S938C1 ::v27(){vfunc_called(this, "_ZN6S938C13v27Ev");}
+void  S938C1 ::v28(){vfunc_called(this, "_ZN6S938C13v28Ev");}
+void  S938C1 ::v29(){vfunc_called(this, "_ZN6S938C13v29Ev");}
+void  S938C1 ::v30(){vfunc_called(this, "_ZN6S938C13v30Ev");}
+void  S938C1 ::v31(){vfunc_called(this, "_ZN6S938C13v31Ev");}
+void  S938C1 ::v32(){vfunc_called(this, "_ZN6S938C13v32Ev");}
+void  S938C1 ::v33(){vfunc_called(this, "_ZN6S938C13v33Ev");}
+void  S938C1 ::v34(){vfunc_called(this, "_ZN6S938C13v34Ev");}
+void  S938C1 ::v35(){vfunc_called(this, "_ZN6S938C13v35Ev");}
+void  S938C1 ::v36(){vfunc_called(this, "_ZN6S938C13v36Ev");}
+void  S938C1 ::v37(){vfunc_called(this, "_ZN6S938C13v37Ev");}
+void  S938C1 ::v38(){vfunc_called(this, "_ZN6S938C13v38Ev");}
+void  S938C1 ::v39(){vfunc_called(this, "_ZN6S938C13v39Ev");}
+void  S938C1 ::v40(){vfunc_called(this, "_ZN6S938C13v40Ev");}
+void  S938C1 ::v41(){vfunc_called(this, "_ZN6S938C13v41Ev");}
+void  S938C1 ::v42(){vfunc_called(this, "_ZN6S938C13v42Ev");}
+void  S938C1 ::v43(){vfunc_called(this, "_ZN6S938C13v43Ev");}
+void  S938C1 ::v44(){vfunc_called(this, "_ZN6S938C13v44Ev");}
+void  S938C1 ::v45(){vfunc_called(this, "_ZN6S938C13v45Ev");}
+void  S938C1 ::v46(){vfunc_called(this, "_ZN6S938C13v46Ev");}
+void  S938C1 ::v47(){vfunc_called(this, "_ZN6S938C13v47Ev");}
+void  S938C1 ::v48(){vfunc_called(this, "_ZN6S938C13v48Ev");}
+void  S938C1 ::v49(){vfunc_called(this, "_ZN6S938C13v49Ev");}
+void  S938C1 ::v50(){vfunc_called(this, "_ZN6S938C13v50Ev");}
+void  S938C1 ::v51(){vfunc_called(this, "_ZN6S938C13v51Ev");}
+void  S938C1 ::v52(){vfunc_called(this, "_ZN6S938C13v52Ev");}
+void  S938C1 ::v53(){vfunc_called(this, "_ZN6S938C13v53Ev");}
+void  S938C1 ::v54(){vfunc_called(this, "_ZN6S938C13v54Ev");}
+void  S938C1 ::v55(){vfunc_called(this, "_ZN6S938C13v55Ev");}
+void  S938C1 ::v56(){vfunc_called(this, "_ZN6S938C13v56Ev");}
+void  S938C1 ::v57(){vfunc_called(this, "_ZN6S938C13v57Ev");}
+void  S938C1 ::v58(){vfunc_called(this, "_ZN6S938C13v58Ev");}
+void  S938C1 ::v59(){vfunc_called(this, "_ZN6S938C13v59Ev");}
+void  S938C1 ::v60(){vfunc_called(this, "_ZN6S938C13v60Ev");}
+void  S938C1 ::v61(){vfunc_called(this, "_ZN6S938C13v61Ev");}
+void  S938C1 ::v62(){vfunc_called(this, "_ZN6S938C13v62Ev");}
+void  S938C1 ::v63(){vfunc_called(this, "_ZN6S938C13v63Ev");}
+void  S938C1 ::v64(){vfunc_called(this, "_ZN6S938C13v64Ev");}
+void  S938C1 ::v65(){vfunc_called(this, "_ZN6S938C13v65Ev");}
+S938C1 ::S938C1(){ note_ctor("S938C1", this);} // tgen
+
+static void Test_S938C1()
+{
+  extern Class_Descriptor cd_S938C1;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(289,446)];
+    init_test(&cd_S938C1, buf);
+    S938C1 *dp, &lv = *(dp=new (buf) S938C1());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(2304,1780), "sizeof(S938C1)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S938C1)");
+    check_base_class_offset(lv, (S938C5*)(S938C4*)(S938C3*)(S938C2*), 0, "S938C1");
+    check_base_class_offset(lv, (S938C4*)(S938C3*)(S938C2*), 0, "S938C1");
+    check_base_class_offset(lv, (S938C3*)(S938C2*), 0, "S938C1");
+    check_base_class_offset(lv, (S938C2*), 0, "S938C1");
+    check_base_class_offset(lv, (S938C51*)(S938C50*), ABISELECT(1344,1072), "S938C1");
+    check_base_class_offset(lv, (S938C52*)(S938C50*), ABISELECT(1352,1076), "S938C1");
+    check_base_class_offset(lv, (S938C53_nt*)(S938C50*), ABISELECT(1360,1080), "S938C1");
+    check_base_class_offset(lv, (S938C50*), ABISELECT(1344,1072), "S938C1");
+    check_base_class_offset(lv, (S938C59*), ABISELECT(1664,1272), "S938C1");
+    check_field_offset(lv, s938c1f0, ABISELECT(1672,1276), "S938C1.s938c1f0");
+    check_field_offset(lv, s938c1f1, ABISELECT(1680,1284), "S938C1.s938c1f1");
+    check_field_offset(lv, s938c1f2, ABISELECT(1696,1300), "S938C1.s938c1f2");
+    check_field_offset(lv, s938c1f3, ABISELECT(1712,1316), "S938C1.s938c1f3");
+    check_field_offset(lv, s938c1f4, ABISELECT(1720,1324), "S938C1.s938c1f4");
+    check_field_offset(lv, s938c1f5, ABISELECT(1728,1328), "S938C1.s938c1f5");
+    check_field_offset(lv, s938c1f6, ABISELECT(1736,1332), "S938C1.s938c1f6");
+    check_field_offset(lv, s938c1f7, ABISELECT(1744,1336), "S938C1.s938c1f7");
+    check_field_offset(lv, s938c1f8, ABISELECT(1752,1344), "S938C1.s938c1f8");
+    check_field_offset(lv, s938c1f9, ABISELECT(1760,1352), "S938C1.s938c1f9");
+    check_field_offset(lv, s938c1f10, ABISELECT(1768,1360), "S938C1.s938c1f10");
+    check_field_offset(lv, s938c1f11, ABISELECT(1776,1368), "S938C1.s938c1f11");
+    check_field_offset(lv, s938c1f12, ABISELECT(1784,1372), "S938C1.s938c1f12");
+    check_field_offset(lv, s938c1f13, ABISELECT(1800,1380), "S938C1.s938c1f13");
+    check_field_offset(lv, s938c1f14, ABISELECT(1816,1388), "S938C1.s938c1f14");
+    check_field_offset(lv, s938c1f15, ABISELECT(1952,1488), "S938C1.s938c1f15");
+    check_field_offset(lv, s938c1f16, ABISELECT(1960,1492), "S938C1.s938c1f16");
+    check_field_offset(lv, s938c1f17, ABISELECT(2104,1612), "S938C1.s938c1f17");
+    check_field_offset(lv, s938c1f18, ABISELECT(2112,1616), "S938C1.s938c1f18");
+    check_field_offset(lv, s938c1f19, ABISELECT(2144,1640), "S938C1.s938c1f19");
+    check_field_offset(lv, s938c1f20, ABISELECT(2164,1660), "S938C1.s938c1f20");
+    check_field_offset(lv, s938c1f21, ABISELECT(2168,1664), "S938C1.s938c1f21");
+    check_field_offset(lv, s938c1f22, ABISELECT(2176,1672), "S938C1.s938c1f22");
+    check_field_offset(lv, s938c1f23, ABISELECT(2192,1688), "S938C1.s938c1f23");
+    check_field_offset(lv, s938c1f24, ABISELECT(2208,1704), "S938C1.s938c1f24");
+    check_field_offset(lv, s938c1f25, ABISELECT(2224,1720), "S938C1.s938c1f25");
+    check_field_offset(lv, s938c1f26, ABISELECT(2240,1728), "S938C1.s938c1f26");
+    check_field_offset(lv, s938c1f27, ABISELECT(2248,1736), "S938C1.s938c1f27");
+    check_field_offset(lv, s938c1f28, ABISELECT(2252,1740), "S938C1.s938c1f28");
+    check_field_offset(lv, s938c1f29, ABISELECT(2256,1744), "S938C1.s938c1f29");
+    check_field_offset(lv, s938c1f30, ABISELECT(2260,1748), "S938C1.s938c1f30");
+    check_field_offset(lv, s938c1f31, ABISELECT(2264,1752), "S938C1.s938c1f31");
+    check_field_offset(lv, s938c1f32, ABISELECT(2272,1756), "S938C1.s938c1f32");
+    check_field_offset(lv, s938c1f33, ABISELECT(2288,1768), "S938C1.s938c1f33");
+    test_class_info(&lv, &cd_S938C1);
+    dp->~S938C1();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS938C1(Test_S938C1, "S938C1", ABISELECT(2304,1780));
+
+#else // __cplusplus
+
+extern void _ZN6S938C1C1Ev();
+extern void _ZN6S938C1D1Ev();
+Name_Map name_map_S938C1[] = {
+  NSPAIR(_ZN6S938C1C1Ev),
+  NSPAIR(_ZN6S938C1D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S938C5;
+extern VTBL_ENTRY _ZTI6S938C5[];
+extern  VTBL_ENTRY _ZTV6S938C5[];
+extern Class_Descriptor cd_S938C4;
+extern VTBL_ENTRY _ZTI6S938C4[];
+extern  VTBL_ENTRY _ZTV6S938C4[];
+extern Class_Descriptor cd_S938C3;
+extern VTBL_ENTRY _ZTI6S938C3[];
+extern  VTBL_ENTRY _ZTV6S938C3[];
+extern Class_Descriptor cd_S938C2;
+extern VTBL_ENTRY _ZTI6S938C2[];
+extern  VTBL_ENTRY _ZTV6S938C2[];
+extern Class_Descriptor cd_S938C51;
+extern VTBL_ENTRY _ZTI7S938C51[];
+extern  VTBL_ENTRY _ZTV7S938C51[];
+extern Class_Descriptor cd_S938C52;
+extern VTBL_ENTRY _ZTI7S938C52[];
+extern  VTBL_ENTRY _ZTV7S938C52[];
+extern Class_Descriptor cd_S938C53_nt;
+extern VTBL_ENTRY _ZTI10S938C53_nt[];
+extern  VTBL_ENTRY _ZTV10S938C53_nt[];
+extern Class_Descriptor cd_S938C50;
+extern VTBL_ENTRY _ZTI7S938C50[];
+extern  VTBL_ENTRY _ZTV7S938C50[];
+extern Class_Descriptor cd_S938C59;
+extern VTBL_ENTRY _ZTI7S938C59[];
+extern  VTBL_ENTRY _ZTV7S938C59[];
+static Base_Class bases_S938C1[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S938C5,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    1, //immediately_derived
+  0, 0},
+  {&cd_S938C4,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    2, //init_seq
+    2, //immediately_derived
+  0, 0},
+  {&cd_S938C3,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    3, //init_seq
+    3, //immediately_derived
+  0, 0},
+  {&cd_S938C2,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    4, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {&cd_S938C51,    ABISELECT(1344,1072), //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    5, //init_seq
+    7, //immediately_derived
+  0, 0},
+  {&cd_S938C52,    ABISELECT(1352,1076), //bcp->offset
+    335, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    6, //init_seq
+    7, //immediately_derived
+  0, 0},
+  {&cd_S938C53_nt,    ABISELECT(1360,1080), //bcp->offset
+    381, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    7, //init_seq
+    7, //immediately_derived
+  0, 0},
+  {&cd_S938C50,    ABISELECT(1344,1072), //bcp->offset
+    265, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    8, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {&cd_S938C59,    ABISELECT(1664,1272), //bcp->offset
+    393, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    9, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI6S938C1[];
+extern void _ZN6S938C1D1Ev();
+extern void _ZN6S938C1D0Ev();
+extern void _ZN6S938C53v66Ev();
+extern void _ZN6S938C53v67Ev();
+extern void _ZN6S938C53v68Ev();
+extern void _ZN6S938C53v69Ev();
+extern void _ZN6S938C53v70Ev();
+extern void _ZN6S938C53v71Ev();
+extern void _ZN6S938C53v72Ev();
+extern void _ZN6S938C53v73Ev();
+extern void _ZN6S938C53v74Ev();
+extern void _ZN6S938C53v75Ev();
+extern void _ZN6S938C53v76Ev();
+extern void _ZN6S938C53v77Ev();
+extern void _ZN6S938C53v78Ev();
+extern void _ZN6S938C53v79Ev();
+extern void _ZN6S938C53v80Ev();
+extern void _ZN6S938C53v81Ev();
+extern void _ZN6S938C53v82Ev();
+extern void _ZN6S938C53v83Ev();
+extern void _ZN6S938C53v84Ev();
+extern void _ZN6S938C53v85Ev();
+extern void _ZN6S938C53v86Ev();
+extern void _ZN6S938C53v87Ev();
+extern void _ZN6S938C53v88Ev();
+extern void _ZN6S938C43v89Ev();
+extern void _ZN6S938C43v90Ev();
+extern void _ZN6S938C43v91Ev();
+extern void _ZN6S938C43v92Ev();
+extern void _ZN6S938C43v93Ev();
+extern void _ZN6S938C43v94Ev();
+extern void _ZN6S938C43v95Ev();
+extern void _ZN6S938C43v96Ev();
+extern void _ZN6S938C43v97Ev();
+extern void _ZN6S938C43v98Ev();
+extern void _ZN6S938C43v99Ev();
+extern void _ZN6S938C44v100Ev();
+extern void _ZN6S938C44v101Ev();
+extern void _ZN6S938C44v102Ev();
+extern void _ZN6S938C44v103Ev();
+extern void _ZN6S938C44v104Ev();
+extern void _ZN6S938C44v105Ev();
+extern void _ZN6S938C44v106Ev();
+extern void _ZN6S938C44v107Ev();
+extern void _ZN6S938C44v108Ev();
+extern void _ZN6S938C44v109Ev();
+extern void _ZN6S938C44v110Ev();
+extern void _ZN6S938C44v111Ev();
+extern void _ZN6S938C44v112Ev();
+extern void _ZN6S938C44v113Ev();
+extern void _ZN6S938C44v114Ev();
+extern void _ZN6S938C44v115Ev();
+extern void _ZN6S938C44v116Ev();
+extern void _ZN6S938C44v117Ev();
+extern void _ZN6S938C44v118Ev();
+extern void _ZN6S938C44v119Ev();
+extern void _ZN6S938C44v120Ev();
+extern void _ZN6S938C44v121Ev();
+extern void _ZN6S938C44v122Ev();
+extern void _ZN6S938C44v123Ev();
+extern void _ZN6S938C44v124Ev();
+extern void _ZN6S938C44v125Ev();
+extern void _ZN6S938C44v126Ev();
+extern void _ZN6S938C44v127Ev();
+extern void _ZN6S938C44v128Ev();
+extern void _ZN6S938C44v129Ev();
+extern void _ZN6S938C44v130Ev();
+extern void _ZN6S938C44v131Ev();
+extern void _ZN6S938C44v132Ev();
+extern void _ZN6S938C44v133Ev();
+extern void _ZN6S938C44v134Ev();
+extern void _ZN6S938C44v135Ev();
+extern void _ZN6S938C44v136Ev();
+extern void _ZN6S938C44v137Ev();
+extern void _ZN6S938C44v138Ev();
+extern void _ZN6S938C44v139Ev();
+extern void _ZN6S938C44v140Ev();
+extern void _ZN6S938C44v141Ev();
+extern void _ZN6S938C44v142Ev();
+extern void _ZN6S938C44v143Ev();
+extern void _ZN6S938C44v144Ev();
+extern void _ZN6S938C44v145Ev();
+extern void _ZN6S938C44v146Ev();
+extern void _ZN6S938C44v147Ev();
+extern void _ZN6S938C44v148Ev();
+extern void _ZN6S938C44v149Ev();
+extern void _ZN6S938C44v150Ev();
+extern void _ZN6S938C44v151Ev();
+extern void _ZN6S938C44v152Ev();
+extern void _ZN6S938C44v153Ev();
+extern void _ZN6S938C44v154Ev();
+extern void _ZN6S938C44v155Ev();
+extern void _ZN6S938C44v156Ev();
+extern void _ZN6S938C44v157Ev();
+extern void _ZN6S938C44v158Ev();
+extern void _ZN6S938C44v159Ev();
+extern void _ZN6S938C44v160Ev();
+extern void _ZN6S938C44v161Ev();
+extern void _ZN6S938C44v162Ev();
+extern void _ZN6S938C44v163Ev();
+extern void _ZN6S938C44v164Ev();
+extern void _ZN6S938C44v165Ev();
+extern void _ZN6S938C44v166Ev();
+extern void _ZN6S938C34v167Ev();
+extern void _ZN6S938C34v168Ev();
+extern void _ZN6S938C34v169Ev();
+extern void _ZN6S938C34v170Ev();
+extern void _ZN6S938C34v171Ev();
+extern void _ZN6S938C34v172Ev();
+extern void _ZN6S938C34v173Ev();
+extern void _ZN6S938C34v174Ev();
+extern void _ZN6S938C34v175Ev();
+extern void _ZN6S938C34v176Ev();
+extern void _ZN6S938C34v177Ev();
+extern void _ZN6S938C34v178Ev();
+extern void _ZN6S938C34v179Ev();
+extern void _ZN6S938C34v180Ev();
+extern void _ZN6S938C34v181Ev();
+extern void _ZN6S938C34v182Ev();
+extern void _ZN6S938C34v183Ev();
+extern void _ZN6S938C34v184Ev();
+extern void _ZN6S938C34v185Ev();
+extern void _ZN6S938C34v186Ev();
+extern void _ZN6S938C34v187Ev();
+extern void _ZN6S938C34v188Ev();
+extern void _ZN6S938C34v189Ev();
+extern void _ZN6S938C34v190Ev();
+extern void _ZN6S938C34v191Ev();
+extern void _ZN6S938C34v192Ev();
+extern void _ZN6S938C34v193Ev();
+extern void _ZN6S938C34v194Ev();
+extern void _ZN6S938C34v195Ev();
+extern void _ZN6S938C34v196Ev();
+extern void _ZN6S938C34v197Ev();
+extern void _ZN6S938C34v198Ev();
+extern void _ZN6S938C34v199Ev();
+extern void _ZN6S938C34v200Ev();
+extern void _ZN6S938C34v201Ev();
+extern void _ZN6S938C34v202Ev();
+extern void _ZN6S938C34v203Ev();
+extern void _ZN6S938C34v204Ev();
+extern void _ZN6S938C34v205Ev();
+extern void _ZN6S938C34v206Ev();
+extern void _ZN6S938C34v207Ev();
+extern void _ZN6S938C34v208Ev();
+extern void _ZN6S938C34v209Ev();
+extern void _ZN6S938C34v210Ev();
+extern void _ZN6S938C34v211Ev();
+extern void _ZN6S938C34v212Ev();
+extern void _ZN6S938C34v213Ev();
+extern void _ZN6S938C34v214Ev();
+extern void _ZN6S938C34v215Ev();
+extern void _ZN6S938C34v216Ev();
+extern void _ZN6S938C34v217Ev();
+extern void _ZN6S938C34v218Ev();
+extern void _ZN6S938C34v219Ev();
+extern void _ZN6S938C34v220Ev();
+extern void _ZN6S938C34v221Ev();
+extern void _ZN6S938C34v222Ev();
+extern void _ZN6S938C34v223Ev();
+extern void _ZN6S938C34v224Ev();
+extern void _ZN6S938C34v225Ev();
+extern void _ZN6S938C34v226Ev();
+extern void _ZN6S938C34v227Ev();
+extern void _ZN6S938C34v228Ev();
+extern void _ZN6S938C34v229Ev();
+extern void _ZN6S938C34v230Ev();
+extern void _ZN6S938C34v231Ev();
+extern void _ZN6S938C34v232Ev();
+extern void _ZN6S938C34v233Ev();
+extern void _ZN6S938C34v234Ev();
+extern void _ZN6S938C34v235Ev();
+extern void _ZN6S938C34v236Ev();
+extern void _ZN6S938C34v237Ev();
+extern void _ZN6S938C34v238Ev();
+extern void _ZN6S938C34v239Ev();
+extern void _ZN6S938C34v240Ev();
+extern void _ZN6S938C34v241Ev();
+extern void _ZN6S938C34v242Ev();
+extern void _ZN6S938C34v243Ev();
+extern void _ZN6S938C34v244Ev();
+extern void _ZN6S938C34v245Ev();
+extern void _ZN6S938C34v246Ev();
+extern void _ZN6S938C34v247Ev();
+extern void _ZN6S938C34v248Ev();
+extern void _ZN6S938C34v249Ev();
+extern void _ZN6S938C34v250Ev();
+extern void _ZN6S938C34v251Ev();
+extern void _ZN6S938C34v252Ev();
+extern void _ZN6S938C24v253Ev();
+extern void _ZN6S938C24v254Ev();
+extern void _ZN6S938C24v255Ev();
+extern void _ZN6S938C24v256Ev();
+extern void _ZN6S938C24v257Ev();
+extern void _ZN6S938C24v258Ev();
+extern void _ZN6S938C24v259Ev();
+extern void _ZN6S938C24v260Ev();
+extern void _ZN6S938C24v261Ev();
+extern void _ZN6S938C12v1Ev();
+extern void _ZN6S938C12v2Ev();
+extern void _ZN6S938C12v3Ev();
+extern void _ZN6S938C12v4Ev();
+extern void _ZN6S938C12v5Ev();
+extern void _ZN6S938C12v6Ev();
+extern void _ZN6S938C12v7Ev();
+extern void _ZN6S938C12v8Ev();
+extern void _ZN6S938C12v9Ev();
+extern void _ZN6S938C13v10Ev();
+extern void _ZN6S938C13v11Ev();
+extern void _ZN6S938C13v12Ev();
+extern void _ZN6S938C13v13Ev();
+extern void _ZN6S938C13v14Ev();
+extern void _ZN6S938C13v15Ev();
+extern void _ZN6S938C13v16Ev();
+extern void _ZN6S938C13v17Ev();
+extern void _ZN6S938C13v18Ev();
+extern void _ZN6S938C13v19Ev();
+extern void _ZN6S938C13v20Ev();
+extern void _ZN6S938C13v21Ev();
+extern void _ZN6S938C13v22Ev();
+extern void _ZN6S938C13v23Ev();
+extern void _ZN6S938C13v24Ev();
+extern void _ZN6S938C13v25Ev();
+extern void _ZN6S938C13v26Ev();
+extern void _ZN6S938C13v27Ev();
+extern void _ZN6S938C13v28Ev();
+extern void _ZN6S938C13v29Ev();
+extern void _ZN6S938C13v30Ev();
+extern void _ZN6S938C13v31Ev();
+extern void _ZN6S938C13v32Ev();
+extern void _ZN6S938C13v33Ev();
+extern void _ZN6S938C13v34Ev();
+extern void _ZN6S938C13v35Ev();
+extern void _ZN6S938C13v36Ev();
+extern void _ZN6S938C13v37Ev();
+extern void _ZN6S938C13v38Ev();
+extern void _ZN6S938C13v39Ev();
+extern void _ZN6S938C13v40Ev();
+extern void _ZN6S938C13v41Ev();
+extern void _ZN6S938C13v42Ev();
+extern void _ZN6S938C13v43Ev();
+extern void _ZN6S938C13v44Ev();
+extern void _ZN6S938C13v45Ev();
+extern void _ZN6S938C13v46Ev();
+extern void _ZN6S938C13v47Ev();
+extern void _ZN6S938C13v48Ev();
+extern void _ZN6S938C13v49Ev();
+extern void _ZN6S938C13v50Ev();
+extern void _ZN6S938C13v51Ev();
+extern void _ZN6S938C13v52Ev();
+extern void _ZN6S938C13v53Ev();
+extern void _ZN6S938C13v54Ev();
+extern void _ZN6S938C13v55Ev();
+extern void _ZN6S938C13v56Ev();
+extern void _ZN6S938C13v57Ev();
+extern void _ZN6S938C13v58Ev();
+extern void _ZN6S938C13v59Ev();
+extern void _ZN6S938C13v60Ev();
+extern void _ZN6S938C13v61Ev();
+extern void _ZN6S938C13v62Ev();
+extern void _ZN6S938C13v63Ev();
+extern void _ZN6S938C13v64Ev();
+extern void _ZN6S938C13v65Ev();
+extern void ABISELECT(_ZThn1344_N6S938C1D1Ev,_ZThn1072_N6S938C1D1Ev)();
+extern void ABISELECT(_ZThn1344_N6S938C1D0Ev,_ZThn1072_N6S938C1D0Ev)();
+extern void _ZN7S938C514v262Ev();
+extern void _ZN7S938C514v263Ev();
+extern void _ZN7S938C514v264Ev();
+extern void _ZN7S938C514v265Ev();
+extern void _ZN7S938C514v266Ev();
+extern void _ZN7S938C514v267Ev();
+extern void _ZN7S938C504v318Ev();
+extern void _ZN7S938C504v319Ev();
+extern void _ZN7S938C504v320Ev();
+extern void _ZN7S938C504v321Ev();
+extern void _ZN7S938C504v322Ev();
+extern void _ZN7S938C504v323Ev();
+extern void _ZN7S938C504v324Ev();
+extern void _ZN7S938C504v325Ev();
+extern void _ZN7S938C504v326Ev();
+extern void _ZN7S938C504v327Ev();
+extern void _ZN7S938C504v328Ev();
+extern void _ZN7S938C504v329Ev();
+extern void _ZN7S938C504v330Ev();
+extern void _ZN7S938C504v331Ev();
+extern void _ZN7S938C504v332Ev();
+extern void _ZN7S938C504v333Ev();
+extern void _ZN7S938C504v334Ev();
+extern void _ZN7S938C504v335Ev();
+extern void _ZN7S938C504v336Ev();
+extern void _ZN7S938C504v337Ev();
+extern void _ZN7S938C504v338Ev();
+extern void _ZN7S938C504v339Ev();
+extern void _ZN7S938C504v340Ev();
+extern void _ZN7S938C504v341Ev();
+extern void _ZN7S938C504v342Ev();
+extern void _ZN7S938C504v343Ev();
+extern void _ZN7S938C504v344Ev();
+extern void _ZN7S938C504v345Ev();
+extern void _ZN7S938C504v346Ev();
+extern void _ZN7S938C504v347Ev();
+extern void _ZN7S938C504v348Ev();
+extern void _ZN7S938C504v349Ev();
+extern void _ZN7S938C504v350Ev();
+extern void _ZN7S938C504v351Ev();
+extern void _ZN7S938C504v352Ev();
+extern void _ZN7S938C504v353Ev();
+extern void _ZN7S938C504v354Ev();
+extern void _ZN7S938C504v355Ev();
+extern void _ZN7S938C504v356Ev();
+extern void _ZN7S938C504v357Ev();
+extern void _ZN7S938C504v358Ev();
+extern void _ZN7S938C504v359Ev();
+extern void _ZN7S938C504v360Ev();
+extern void _ZN7S938C504v361Ev();
+extern void _ZN7S938C504v362Ev();
+extern void _ZN7S938C504v363Ev();
+extern void _ZN7S938C504v364Ev();
+extern void _ZN7S938C504v365Ev();
+extern void _ZN7S938C504v366Ev();
+extern void _ZN7S938C504v367Ev();
+extern void _ZN7S938C504v368Ev();
+extern void _ZN7S938C504v369Ev();
+extern void _ZN7S938C504v370Ev();
+extern void _ZN7S938C504v371Ev();
+extern void _ZN7S938C504v372Ev();
+extern void _ZN7S938C504v373Ev();
+extern void _ZN7S938C504v374Ev();
+extern void _ZN7S938C504v375Ev();
+extern void _ZN7S938C504v376Ev();
+extern void _ZN7S938C504v377Ev();
+extern void ABISELECT(_ZThn1352_N6S938C1D1Ev,_ZThn1076_N6S938C1D1Ev)();
+extern void ABISELECT(_ZThn1352_N6S938C1D0Ev,_ZThn1076_N6S938C1D0Ev)();
+extern void _ZN7S938C524v268Ev();
+extern void _ZN7S938C524v269Ev();
+extern void _ZN7S938C524v270Ev();
+extern void _ZN7S938C524v271Ev();
+extern void _ZN7S938C524v272Ev();
+extern void _ZN7S938C524v273Ev();
+extern void _ZN7S938C524v274Ev();
+extern void _ZN7S938C524v275Ev();
+extern void _ZN7S938C524v276Ev();
+extern void _ZN7S938C524v277Ev();
+extern void _ZN7S938C524v278Ev();
+extern void _ZN7S938C524v279Ev();
+extern void _ZN7S938C524v280Ev();
+extern void _ZN7S938C524v281Ev();
+extern void _ZN7S938C524v282Ev();
+extern void _ZN7S938C524v283Ev();
+extern void _ZN7S938C524v284Ev();
+extern void _ZN7S938C524v285Ev();
+extern void _ZN7S938C524v286Ev();
+extern void _ZN7S938C524v287Ev();
+extern void _ZN7S938C524v288Ev();
+extern void _ZN7S938C524v289Ev();
+extern void _ZN7S938C524v290Ev();
+extern void _ZN7S938C524v291Ev();
+extern void _ZN7S938C524v292Ev();
+extern void _ZN7S938C524v293Ev();
+extern void _ZN7S938C524v294Ev();
+extern void _ZN7S938C524v295Ev();
+extern void _ZN7S938C524v296Ev();
+extern void _ZN7S938C524v297Ev();
+extern void _ZN7S938C524v298Ev();
+extern void _ZN7S938C524v299Ev();
+extern void _ZN7S938C524v300Ev();
+extern void _ZN7S938C524v301Ev();
+extern void _ZN7S938C524v302Ev();
+extern void _ZN7S938C524v303Ev();
+extern void _ZN7S938C524v304Ev();
+extern void _ZN7S938C524v305Ev();
+extern void _ZN7S938C524v306Ev();
+extern void _ZN7S938C524v307Ev();
+extern void _ZN7S938C524v308Ev();
+extern void _ZN7S938C524v309Ev();
+extern void ABISELECT(_ZThn1360_N6S938C1D1Ev,_ZThn1080_N6S938C1D1Ev)();
+extern void ABISELECT(_ZThn1360_N6S938C1D0Ev,_ZThn1080_N6S938C1D0Ev)();
+extern void _ZN10S938C53_nt4v310Ev();
+extern void _ZN10S938C53_nt4v311Ev();
+extern void _ZN10S938C53_nt4v312Ev();
+extern void _ZN10S938C53_nt4v313Ev();
+extern void _ZN10S938C53_nt4v314Ev();
+extern void _ZN10S938C53_nt4v315Ev();
+extern void _ZN10S938C53_nt4v316Ev();
+extern void _ZN10S938C53_nt4v317Ev();
+extern void ABISELECT(_ZThn1664_N6S938C1D1Ev,_ZThn1272_N6S938C1D1Ev)();
+extern void ABISELECT(_ZThn1664_N6S938C1D0Ev,_ZThn1272_N6S938C1D0Ev)();
+extern void _ZN7S938C594v378Ev();
+extern void _ZN7S938C594v379Ev();
+extern void _ZN7S938C594v380Ev();
+static  VTBL_ENTRY vtc_S938C1[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S938C1[0]),
+  (VTBL_ENTRY)&_ZN6S938C1D1Ev,
+  (VTBL_ENTRY)&_ZN6S938C1D0Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v77Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v78Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v79Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v80Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v81Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v82Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v83Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v84Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v85Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v86Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v87Ev,
+  (VTBL_ENTRY)&_ZN6S938C53v88Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v89Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v90Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v91Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v92Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v93Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v94Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v95Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v96Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v97Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v98Ev,
+  (VTBL_ENTRY)&_ZN6S938C43v99Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v100Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v101Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v102Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v103Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v104Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v105Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v106Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v107Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v108Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v109Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v110Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v111Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v112Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v113Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v114Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v115Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v116Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v117Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v118Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v119Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v120Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v121Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v122Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v123Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v124Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v125Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v126Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v127Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v128Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v129Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v130Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v131Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v132Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v133Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v134Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v135Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v136Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v137Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v138Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v139Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v140Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v141Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v142Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v143Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v144Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v145Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v146Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v147Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v148Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v149Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v150Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v151Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v152Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v153Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v154Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v155Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v156Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v157Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v158Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v159Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v160Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v161Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v162Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v163Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v164Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v165Ev,
+  (VTBL_ENTRY)&_ZN6S938C44v166Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v167Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v168Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v169Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v170Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v171Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v172Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v173Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v174Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v175Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v176Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v177Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v178Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v179Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v180Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v181Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v182Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v183Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v184Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v185Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v186Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v187Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v188Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v189Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v190Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v191Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v192Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v193Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v194Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v195Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v196Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v197Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v198Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v199Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v200Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v201Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v202Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v203Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v204Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v205Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v206Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v207Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v208Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v209Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v210Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v211Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v212Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v213Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v214Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v215Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v216Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v217Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v218Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v219Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v220Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v221Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v222Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v223Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v224Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v225Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v226Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v227Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v228Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v229Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v230Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v231Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v232Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v233Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v234Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v235Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v236Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v237Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v238Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v239Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v240Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v241Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v242Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v243Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v244Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v245Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v246Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v247Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v248Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v249Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v250Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v251Ev,
+  (VTBL_ENTRY)&_ZN6S938C34v252Ev,
+  (VTBL_ENTRY)&_ZN6S938C24v253Ev,
+  (VTBL_ENTRY)&_ZN6S938C24v254Ev,
+  (VTBL_ENTRY)&_ZN6S938C24v255Ev,
+  (VTBL_ENTRY)&_ZN6S938C24v256Ev,
+  (VTBL_ENTRY)&_ZN6S938C24v257Ev,
+  (VTBL_ENTRY)&_ZN6S938C24v258Ev,
+  (VTBL_ENTRY)&_ZN6S938C24v259Ev,
+  (VTBL_ENTRY)&_ZN6S938C24v260Ev,
+  (VTBL_ENTRY)&_ZN6S938C24v261Ev,
+  (VTBL_ENTRY)&_ZN6S938C12v1Ev,
+  (VTBL_ENTRY)&_ZN6S938C12v2Ev,
+  (VTBL_ENTRY)&_ZN6S938C12v3Ev,
+  (VTBL_ENTRY)&_ZN6S938C12v4Ev,
+  (VTBL_ENTRY)&_ZN6S938C12v5Ev,
+  (VTBL_ENTRY)&_ZN6S938C12v6Ev,
+  (VTBL_ENTRY)&_ZN6S938C12v7Ev,
+  (VTBL_ENTRY)&_ZN6S938C12v8Ev,
+  (VTBL_ENTRY)&_ZN6S938C12v9Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v10Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v11Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v12Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v13Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v14Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v15Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v16Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v17Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v18Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v19Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v20Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v21Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v22Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v23Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v24Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v25Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v26Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v27Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v28Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v29Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v30Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v31Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v32Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v33Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v34Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v35Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v36Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v37Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v38Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v39Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v40Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v41Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v42Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v43Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v44Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v45Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v46Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v47Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v48Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v49Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v50Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v51Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v52Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v53Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v54Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v55Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v56Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v57Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v58Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v59Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v60Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v61Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v62Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v63Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v64Ev,
+  (VTBL_ENTRY)&_ZN6S938C13v65Ev,
+  ABISELECT(-1344,-1072),
+  (VTBL_ENTRY)&(_ZTI6S938C1[0]),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1344_N6S938C1D1Ev,_ZThn1072_N6S938C1D1Ev),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1344_N6S938C1D0Ev,_ZThn1072_N6S938C1D0Ev),
+  (VTBL_ENTRY)&_ZN7S938C514v262Ev,
+  (VTBL_ENTRY)&_ZN7S938C514v263Ev,
+  (VTBL_ENTRY)&_ZN7S938C514v264Ev,
+  (VTBL_ENTRY)&_ZN7S938C514v265Ev,
+  (VTBL_ENTRY)&_ZN7S938C514v266Ev,
+  (VTBL_ENTRY)&_ZN7S938C514v267Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v318Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v319Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v320Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v321Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v322Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v323Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v324Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v325Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v326Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v327Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v328Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v329Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v330Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v331Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v332Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v333Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v334Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v335Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v336Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v337Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v338Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v339Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v340Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v341Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v342Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v343Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v344Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v345Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v346Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v347Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v348Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v349Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v350Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v351Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v352Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v353Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v354Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v355Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v356Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v357Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v358Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v359Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v360Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v361Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v362Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v363Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v364Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v365Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v366Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v367Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v368Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v369Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v370Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v371Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v372Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v373Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v374Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v375Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v376Ev,
+  (VTBL_ENTRY)&_ZN7S938C504v377Ev,
+  ABISELECT(-1352,-1076),
+  (VTBL_ENTRY)&(_ZTI6S938C1[0]),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1352_N6S938C1D1Ev,_ZThn1076_N6S938C1D1Ev),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1352_N6S938C1D0Ev,_ZThn1076_N6S938C1D0Ev),
+  (VTBL_ENTRY)&_ZN7S938C524v268Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v269Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v270Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v271Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v272Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v273Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v274Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v275Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v276Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v277Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v278Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v279Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v280Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v281Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v282Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v283Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v284Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v285Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v286Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v287Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v288Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v289Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v290Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v291Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v292Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v293Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v294Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v295Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v296Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v297Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v298Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v299Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v300Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v301Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v302Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v303Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v304Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v305Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v306Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v307Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v308Ev,
+  (VTBL_ENTRY)&_ZN7S938C524v309Ev,
+  ABISELECT(-1360,-1080),
+  (VTBL_ENTRY)&(_ZTI6S938C1[0]),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1360_N6S938C1D1Ev,_ZThn1080_N6S938C1D1Ev),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1360_N6S938C1D0Ev,_ZThn1080_N6S938C1D0Ev),
+  (VTBL_ENTRY)&_ZN10S938C53_nt4v310Ev,
+  (VTBL_ENTRY)&_ZN10S938C53_nt4v311Ev,
+  (VTBL_ENTRY)&_ZN10S938C53_nt4v312Ev,
+  (VTBL_ENTRY)&_ZN10S938C53_nt4v313Ev,
+  (VTBL_ENTRY)&_ZN10S938C53_nt4v314Ev,
+  (VTBL_ENTRY)&_ZN10S938C53_nt4v315Ev,
+  (VTBL_ENTRY)&_ZN10S938C53_nt4v316Ev,
+  (VTBL_ENTRY)&_ZN10S938C53_nt4v317Ev,
+  ABISELECT(-1664,-1272),
+  (VTBL_ENTRY)&(_ZTI6S938C1[0]),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1664_N6S938C1D1Ev,_ZThn1272_N6S938C1D1Ev),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1664_N6S938C1D0Ev,_ZThn1272_N6S938C1D0Ev),
+  (VTBL_ENTRY)&_ZN7S938C594v378Ev,
+  (VTBL_ENTRY)&_ZN7S938C594v379Ev,
+  (VTBL_ENTRY)&_ZN7S938C594v380Ev,
+};
+extern VTBL_ENTRY _ZTI6S938C1[];
+extern  VTBL_ENTRY _ZTV6S938C1[];
+Class_Descriptor cd_S938C1 = {  "S938C1", // class name
+  bases_S938C1, 9,
+  &(vtc_S938C1[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(2304,1780), // object size
+  NSPAIRA(_ZTI6S938C1),ABISELECT(72,40), //typeinfo_var
+  NSPAIRA(_ZTV6S938C1),400, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  9, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S927C5  {
+  void *s927c5f0;
+  int s927c5f1;
+  ::S938C45 s927c5f2;
+  ::S938C26 s927c5f3;
+  int s927c5f4;
+  virtual  ~S927C5(); // _ZN6S927C5D1Ev
+  virtual void  v55(); // _ZN6S927C53v55Ev
+  virtual void  v56(); // _ZN6S927C53v56Ev
+  virtual void  v57(); // _ZN6S927C53v57Ev
+  virtual void  v58(); // _ZN6S927C53v58Ev
+  virtual void  v59(); // _ZN6S927C53v59Ev
+  virtual void  v60(); // _ZN6S927C53v60Ev
+  virtual void  v61(); // _ZN6S927C53v61Ev
+  virtual void  v62(); // _ZN6S927C53v62Ev
+  virtual void  v63(); // _ZN6S927C53v63Ev
+  virtual void  v64(); // _ZN6S927C53v64Ev
+  virtual void  v65(); // _ZN6S927C53v65Ev
+  virtual void  v66(); // _ZN6S927C53v66Ev
+  virtual void  v67(); // _ZN6S927C53v67Ev
+  virtual void  v68(); // _ZN6S927C53v68Ev
+  virtual void  v69(); // _ZN6S927C53v69Ev
+  virtual void  v70(); // _ZN6S927C53v70Ev
+  virtual void  v71(); // _ZN6S927C53v71Ev
+  virtual void  v72(); // _ZN6S927C53v72Ev
+  virtual void  v73(); // _ZN6S927C53v73Ev
+  virtual void  v74(); // _ZN6S927C53v74Ev
+  virtual void  v75(); // _ZN6S927C53v75Ev
+  virtual void  v76(); // _ZN6S927C53v76Ev
+  virtual void  v77(); // _ZN6S927C53v77Ev
+  S927C5(); // tgen
+};
+//SIG(-1 S927C5) C1{ vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 Fp Fi FC2{ BC3{ m Fp Fi FC4{ m} FC5{ m}}} FC6{ BC7{ m Fp Fi FC8{ m} FC9{ m}}} Fi}
+
+
+ S927C5 ::~S927C5(){ note_dtor("S927C5", this);}
+void  S927C5 ::v55(){vfunc_called(this, "_ZN6S927C53v55Ev");}
+void  S927C5 ::v56(){vfunc_called(this, "_ZN6S927C53v56Ev");}
+void  S927C5 ::v57(){vfunc_called(this, "_ZN6S927C53v57Ev");}
+void  S927C5 ::v58(){vfunc_called(this, "_ZN6S927C53v58Ev");}
+void  S927C5 ::v59(){vfunc_called(this, "_ZN6S927C53v59Ev");}
+void  S927C5 ::v60(){vfunc_called(this, "_ZN6S927C53v60Ev");}
+void  S927C5 ::v61(){vfunc_called(this, "_ZN6S927C53v61Ev");}
+void  S927C5 ::v62(){vfunc_called(this, "_ZN6S927C53v62Ev");}
+void  S927C5 ::v63(){vfunc_called(this, "_ZN6S927C53v63Ev");}
+void  S927C5 ::v64(){vfunc_called(this, "_ZN6S927C53v64Ev");}
+void  S927C5 ::v65(){vfunc_called(this, "_ZN6S927C53v65Ev");}
+void  S927C5 ::v66(){vfunc_called(this, "_ZN6S927C53v66Ev");}
+void  S927C5 ::v67(){vfunc_called(this, "_ZN6S927C53v67Ev");}
+void  S927C5 ::v68(){vfunc_called(this, "_ZN6S927C53v68Ev");}
+void  S927C5 ::v69(){vfunc_called(this, "_ZN6S927C53v69Ev");}
+void  S927C5 ::v70(){vfunc_called(this, "_ZN6S927C53v70Ev");}
+void  S927C5 ::v71(){vfunc_called(this, "_ZN6S927C53v71Ev");}
+void  S927C5 ::v72(){vfunc_called(this, "_ZN6S927C53v72Ev");}
+void  S927C5 ::v73(){vfunc_called(this, "_ZN6S927C53v73Ev");}
+void  S927C5 ::v74(){vfunc_called(this, "_ZN6S927C53v74Ev");}
+void  S927C5 ::v75(){vfunc_called(this, "_ZN6S927C53v75Ev");}
+void  S927C5 ::v76(){vfunc_called(this, "_ZN6S927C53v76Ev");}
+void  S927C5 ::v77(){vfunc_called(this, "_ZN6S927C53v77Ev");}
+S927C5 ::S927C5(){ note_ctor("S927C5", this);} // tgen
+
+static void Test_S927C5()
+{
+  extern Class_Descriptor cd_S927C5;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(9,11)];
+    init_test(&cd_S927C5, buf);
+    S927C5 *dp, &lv = *(dp=new (buf) S927C5());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(64,40), "sizeof(S927C5)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S927C5)");
+    check_field_offset(lv, s927c5f0, ABISELECT(8,4), "S927C5.s927c5f0");
+    check_field_offset(lv, s927c5f1, ABISELECT(16,8), "S927C5.s927c5f1");
+    check_field_offset(lv, s927c5f2, ABISELECT(24,12), "S927C5.s927c5f2");
+    check_field_offset(lv, s927c5f3, ABISELECT(40,24), "S927C5.s927c5f3");
+    check_field_offset(lv, s927c5f4, ABISELECT(56,36), "S927C5.s927c5f4");
+    test_class_info(&lv, &cd_S927C5);
+    dp->~S927C5();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS927C5(Test_S927C5, "S927C5", ABISELECT(64,40));
+
+#else // __cplusplus
+
+extern void _ZN6S927C5C1Ev();
+extern void _ZN6S927C5D1Ev();
+Name_Map name_map_S927C5[] = {
+  NSPAIR(_ZN6S927C5C1Ev),
+  NSPAIR(_ZN6S927C5D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI6S927C5[];
+extern void _ZN6S927C5D1Ev();
+extern void _ZN6S927C5D0Ev();
+extern void _ZN6S927C53v55Ev();
+extern void _ZN6S927C53v56Ev();
+extern void _ZN6S927C53v57Ev();
+extern void _ZN6S927C53v58Ev();
+extern void _ZN6S927C53v59Ev();
+extern void _ZN6S927C53v60Ev();
+extern void _ZN6S927C53v61Ev();
+extern void _ZN6S927C53v62Ev();
+extern void _ZN6S927C53v63Ev();
+extern void _ZN6S927C53v64Ev();
+extern void _ZN6S927C53v65Ev();
+extern void _ZN6S927C53v66Ev();
+extern void _ZN6S927C53v67Ev();
+extern void _ZN6S927C53v68Ev();
+extern void _ZN6S927C53v69Ev();
+extern void _ZN6S927C53v70Ev();
+extern void _ZN6S927C53v71Ev();
+extern void _ZN6S927C53v72Ev();
+extern void _ZN6S927C53v73Ev();
+extern void _ZN6S927C53v74Ev();
+extern void _ZN6S927C53v75Ev();
+extern void _ZN6S927C53v76Ev();
+extern void _ZN6S927C53v77Ev();
+static  VTBL_ENTRY vtc_S927C5[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S927C5[0]),
+  (VTBL_ENTRY)&_ZN6S927C5D1Ev,
+  (VTBL_ENTRY)&_ZN6S927C5D0Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v55Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v56Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v57Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v58Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v59Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v60Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v61Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v62Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v63Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v64Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v65Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v77Ev,
+};
+extern VTBL_ENTRY _ZTI6S927C5[];
+extern  VTBL_ENTRY _ZTV6S927C5[];
+Class_Descriptor cd_S927C5 = {  "S927C5", // class name
+  0,0,//no base classes
+  &(vtc_S927C5[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(64,40), // object size
+  NSPAIRA(_ZTI6S927C5),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV6S927C5),27, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S927C32_nt  : S938C46 {
+};
+//SIG(-1 S927C32_nt) C1{ BC2{ m Fp Fi FC3{ m} FC4{ m}}}
+
+
+
+//skip512 S927C32_nt
+
+#else // __cplusplus
+
+extern Class_Descriptor cd_S938C46;
+static Base_Class bases_S927C32_nt[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S938C46,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    -1, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S927C38_nt  {
+  void *s927c38f0;
+  int s927c38f1[2];
+  ::S938C68 s927c38f2;
+  void  mf927c38(); // _ZN10S927C38_nt8mf927c38Ev
+};
+//SIG(-1 S927C38_nt) C1{ m Fp Fi[2] FC2{ m}}
+
+
+void  S927C38_nt ::mf927c38(){}
+
+//skip512 S927C38_nt
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S927C4  : S927C5 {
+  ::S938C60 s927c4f0;
+  ::S938C60 s927c4f1;
+  ::S938C60 s927c4f2;
+  ::S938C14_nt s927c4f3;
+  ::S938C18 s927c4f4;
+  ::S938C19_nt s927c4f5;
+  ::S938C19_nt s927c4f6;
+  ::S938C60 s927c4f7;
+  ::S938C60 s927c4f8;
+  ::S938C60 s927c4f9;
+  void *s927c4f10;
+  ::S938C18 s927c4f11;
+  ::S938C20_nt s927c4f12;
+  int s927c4f13;
+  void *s927c4f14[7];
+  ::S938C66 s927c4f15;
+  int s927c4f16:8;
+  int s927c4f17:8;
+  int s927c4f18:16;
+  int s927c4f19:16;
+  int s927c4f20:8;
+  int s927c4f21:8;
+  int s927c4f22:8;
+  int s927c4f23:32;
+  int s927c4f24:8;
+  int s927c4f25:4;
+  int s927c4f26:4;
+  int s927c4f27:4;
+  int s927c4f28:4;
+  int s927c4f29:4;
+  int s927c4f30:4;
+  int s927c4f31:4;
+  int s927c4f32:4;
+  int s927c4f33:4;
+  int s927c4f34:4;
+  unsigned char s927c4f35:1;
+  unsigned char s927c4f36:1;
+  unsigned char s927c4f37:1;
+  unsigned char s927c4f38:1;
+  unsigned char s927c4f39:1;
+  unsigned char s927c4f40:1;
+  unsigned char s927c4f41:1;
+  unsigned char s927c4f42:1;
+  unsigned char s927c4f43:1;
+  unsigned char s927c4f44:1;
+  unsigned char s927c4f45:1;
+  unsigned char s927c4f46:1;
+  unsigned char s927c4f47:1;
+  unsigned char s927c4f48:1;
+  unsigned char s927c4f49:1;
+  unsigned char s927c4f50:1;
+  unsigned char s927c4f51:1;
+  unsigned char s927c4f52:1;
+  unsigned char s927c4f53:1;
+  unsigned char s927c4f54:1;
+  unsigned char s927c4f55:1;
+  unsigned char s927c4f56:1;
+  unsigned char s927c4f57:1;
+  unsigned char s927c4f58:1;
+  unsigned char s927c4f59:1;
+  unsigned char s927c4f60:1;
+  unsigned char s927c4f61:1;
+  unsigned char s927c4f62:1;
+  unsigned char s927c4f63:1;
+  unsigned char s927c4f64:1;
+  unsigned char s927c4f65:1;
+  unsigned char s927c4f66:1;
+  unsigned char s927c4f67:1;
+  unsigned char s927c4f68:1;
+  unsigned char s927c4f69:1;
+  unsigned char s927c4f70:1;
+  unsigned char s927c4f71:1;
+  unsigned char s927c4f72:1;
+  unsigned char s927c4f73:1;
+  unsigned char s927c4f74:1;
+  unsigned char s927c4f75:1;
+  int s927c4f76[7];
+  void *s927c4f77[6];
+  int s927c4f78:8;
+  int s927c4f79:8;
+  int s927c4f80:8;
+  int s927c4f81:8;
+  int s927c4f82:8;
+  int s927c4f83:8;
+  ::S938C6_nt s927c4f84;
+  void *s927c4f85[4];
+  int s927c4f86:8;
+  int s927c4f87:8;
+  int s927c4f88:8;
+  int s927c4f89:8;
+  int s927c4f90:8;
+  int s927c4f91:16;
+  int s927c4f92:16;
+  int s927c4f93:16;
+  int s927c4f94:4;
+  int s927c4f95:4;
+  int s927c4f96:4;
+  int s927c4f97:4;
+  int s927c4f98:4;
+  int s927c4f99:4;
+  int s927c4f100:4;
+  int s927c4f101:4;
+  int s927c4f102:4;
+  int s927c4f103:4;
+  int s927c4f104:4;
+  int s927c4f105:4;
+  int s927c4f106:8;
+  int s927c4f107:8;
+  int s927c4f108:8;
+  void *s927c4f109[7];
+  ::S938C38 s927c4f110;
+  void *s927c4f111[2];
+  int s927c4f112:16;
+  int s927c4f113:16;
+  ::S927C32_nt s927c4f114;
+  ::S938C30_nt s927c4f115;
+  ::S927C38_nt s927c4f116;
+  void *s927c4f117[2];
+  int s927c4f118:24;
+  int s927c4f119:8;
+  void *s927c4f120;
+  virtual  ~S927C4(); // _ZN6S927C4D1Ev
+  virtual void  v78(); // _ZN6S927C43v78Ev
+  virtual void  v79(); // _ZN6S927C43v79Ev
+  virtual void  v80(); // _ZN6S927C43v80Ev
+  virtual void  v81(); // _ZN6S927C43v81Ev
+  virtual void  v82(); // _ZN6S927C43v82Ev
+  virtual void  v83(); // _ZN6S927C43v83Ev
+  virtual void  v84(); // _ZN6S927C43v84Ev
+  virtual void  v85(); // _ZN6S927C43v85Ev
+  virtual void  v86(); // _ZN6S927C43v86Ev
+  virtual void  v87(); // _ZN6S927C43v87Ev
+  virtual void  v88(); // _ZN6S927C43v88Ev
+  virtual void  v89(); // _ZN6S927C43v89Ev
+  virtual void  v90(); // _ZN6S927C43v90Ev
+  virtual void  v91(); // _ZN6S927C43v91Ev
+  virtual void  v92(); // _ZN6S927C43v92Ev
+  virtual void  v93(); // _ZN6S927C43v93Ev
+  virtual void  v94(); // _ZN6S927C43v94Ev
+  virtual void  v95(); // _ZN6S927C43v95Ev
+  virtual void  v96(); // _ZN6S927C43v96Ev
+  virtual void  v97(); // _ZN6S927C43v97Ev
+  virtual void  v98(); // _ZN6S927C43v98Ev
+  virtual void  v99(); // _ZN6S927C43v99Ev
+  virtual void  v100(); // _ZN6S927C44v100Ev
+  virtual void  v101(); // _ZN6S927C44v101Ev
+  virtual void  v102(); // _ZN6S927C44v102Ev
+  virtual void  v103(); // _ZN6S927C44v103Ev
+  virtual void  v104(); // _ZN6S927C44v104Ev
+  virtual void  v105(); // _ZN6S927C44v105Ev
+  virtual void  v106(); // _ZN6S927C44v106Ev
+  virtual void  v107(); // _ZN6S927C44v107Ev
+  virtual void  v108(); // _ZN6S927C44v108Ev
+  virtual void  v109(); // _ZN6S927C44v109Ev
+  virtual void  v110(); // _ZN6S927C44v110Ev
+  virtual void  v111(); // _ZN6S927C44v111Ev
+  virtual void  v112(); // _ZN6S927C44v112Ev
+  virtual void  v113(); // _ZN6S927C44v113Ev
+  virtual void  v114(); // _ZN6S927C44v114Ev
+  virtual void  v115(); // _ZN6S927C44v115Ev
+  virtual void  v116(); // _ZN6S927C44v116Ev
+  virtual void  v117(); // _ZN6S927C44v117Ev
+  virtual void  v118(); // _ZN6S927C44v118Ev
+  virtual void  v119(); // _ZN6S927C44v119Ev
+  virtual void  v120(); // _ZN6S927C44v120Ev
+  virtual void  v121(); // _ZN6S927C44v121Ev
+  virtual void  v122(); // _ZN6S927C44v122Ev
+  virtual void  v123(); // _ZN6S927C44v123Ev
+  virtual void  v124(); // _ZN6S927C44v124Ev
+  virtual void  v125(); // _ZN6S927C44v125Ev
+  virtual void  v126(); // _ZN6S927C44v126Ev
+  virtual void  v127(); // _ZN6S927C44v127Ev
+  virtual void  v128(); // _ZN6S927C44v128Ev
+  virtual void  v129(); // _ZN6S927C44v129Ev
+  virtual void  v130(); // _ZN6S927C44v130Ev
+  virtual void  v131(); // _ZN6S927C44v131Ev
+  virtual void  v132(); // _ZN6S927C44v132Ev
+  virtual void  v133(); // _ZN6S927C44v133Ev
+  virtual void  v134(); // _ZN6S927C44v134Ev
+  virtual void  v135(); // _ZN6S927C44v135Ev
+  virtual void  v136(); // _ZN6S927C44v136Ev
+  virtual void  v137(); // _ZN6S927C44v137Ev
+  virtual void  v138(); // _ZN6S927C44v138Ev
+  virtual void  v139(); // _ZN6S927C44v139Ev
+  virtual void  v140(); // _ZN6S927C44v140Ev
+  virtual void  v141(); // _ZN6S927C44v141Ev
+  virtual void  v142(); // _ZN6S927C44v142Ev
+  virtual void  v143(); // _ZN6S927C44v143Ev
+  virtual void  v144(); // _ZN6S927C44v144Ev
+  virtual void  v145(); // _ZN6S927C44v145Ev
+  virtual void  v146(); // _ZN6S927C44v146Ev
+  virtual void  v147(); // _ZN6S927C44v147Ev
+  virtual void  v148(); // _ZN6S927C44v148Ev
+  virtual void  v149(); // _ZN6S927C44v149Ev
+  virtual void  v150(); // _ZN6S927C44v150Ev
+  virtual void  v151(); // _ZN6S927C44v151Ev
+  virtual void  v152(); // _ZN6S927C44v152Ev
+  virtual void  v153(); // _ZN6S927C44v153Ev
+  virtual void  v154(); // _ZN6S927C44v154Ev
+  virtual void  v155(); // _ZN6S927C44v155Ev
+  S927C4(); // tgen
+};
+//SIG(-1 S927C4) C1{ BC2{ vd v79 v80 v81 v82 v83 v84 v85 v86 v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 Fp Fi FC3{ BC4{ m Fp Fi FC5{ m} FC6{ m}}} FC7{ BC8{ m Fp Fi FC9{ m} FC10{ m}}} Fi} vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53 v54 v55 v56 v57 v58 v59 v60 v61 v62 v63 v64 v65 v66 v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 v78 FC11{ m FC12{ m FL[2]}} FC11 FC11 FC13{ m FC12} FC14{ m FC13} FC15{ m FC13 FC13 FC13 FC13} FC15 FC11 FC11 FC11 Fp FC14 FC16{ m FC17{ m Fp Fi FC18{ m}} Fi} Fi Fp[7] FC19{ m Fp Fi FC6 FC20{ m}} Fi:8 Fi:8 Fi:16 Fi:16 Fi:8 Fi:8 Fi:8 Fi:32 Fi:8 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 F!
 c:1 Fc:1 
 Fc:1 Fc:1 Fi[7] Fp[6] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 FC21{ BC22{ m Fp Fi FC23{ m} FC23}} Fp[4] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:16 Fi:16 Fi:16 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:8 Fi:8 Fi:8 Fp[7] FC24{ m Fp Fi[2] FC5} Fp[2] Fi:16 Fi:16 FC25{ BC4} FC26{ m Fp Fi[2] FC10} FC27{ m Fp Fi[2] FC20} Fp[2] Fi:24 Fi:8 Fp}
+
+
+ S927C4 ::~S927C4(){ note_dtor("S927C4", this);}
+void  S927C4 ::v78(){vfunc_called(this, "_ZN6S927C43v78Ev");}
+void  S927C4 ::v79(){vfunc_called(this, "_ZN6S927C43v79Ev");}
+void  S927C4 ::v80(){vfunc_called(this, "_ZN6S927C43v80Ev");}
+void  S927C4 ::v81(){vfunc_called(this, "_ZN6S927C43v81Ev");}
+void  S927C4 ::v82(){vfunc_called(this, "_ZN6S927C43v82Ev");}
+void  S927C4 ::v83(){vfunc_called(this, "_ZN6S927C43v83Ev");}
+void  S927C4 ::v84(){vfunc_called(this, "_ZN6S927C43v84Ev");}
+void  S927C4 ::v85(){vfunc_called(this, "_ZN6S927C43v85Ev");}
+void  S927C4 ::v86(){vfunc_called(this, "_ZN6S927C43v86Ev");}
+void  S927C4 ::v87(){vfunc_called(this, "_ZN6S927C43v87Ev");}
+void  S927C4 ::v88(){vfunc_called(this, "_ZN6S927C43v88Ev");}
+void  S927C4 ::v89(){vfunc_called(this, "_ZN6S927C43v89Ev");}
+void  S927C4 ::v90(){vfunc_called(this, "_ZN6S927C43v90Ev");}
+void  S927C4 ::v91(){vfunc_called(this, "_ZN6S927C43v91Ev");}
+void  S927C4 ::v92(){vfunc_called(this, "_ZN6S927C43v92Ev");}
+void  S927C4 ::v93(){vfunc_called(this, "_ZN6S927C43v93Ev");}
+void  S927C4 ::v94(){vfunc_called(this, "_ZN6S927C43v94Ev");}
+void  S927C4 ::v95(){vfunc_called(this, "_ZN6S927C43v95Ev");}
+void  S927C4 ::v96(){vfunc_called(this, "_ZN6S927C43v96Ev");}
+void  S927C4 ::v97(){vfunc_called(this, "_ZN6S927C43v97Ev");}
+void  S927C4 ::v98(){vfunc_called(this, "_ZN6S927C43v98Ev");}
+void  S927C4 ::v99(){vfunc_called(this, "_ZN6S927C43v99Ev");}
+void  S927C4 ::v100(){vfunc_called(this, "_ZN6S927C44v100Ev");}
+void  S927C4 ::v101(){vfunc_called(this, "_ZN6S927C44v101Ev");}
+void  S927C4 ::v102(){vfunc_called(this, "_ZN6S927C44v102Ev");}
+void  S927C4 ::v103(){vfunc_called(this, "_ZN6S927C44v103Ev");}
+void  S927C4 ::v104(){vfunc_called(this, "_ZN6S927C44v104Ev");}
+void  S927C4 ::v105(){vfunc_called(this, "_ZN6S927C44v105Ev");}
+void  S927C4 ::v106(){vfunc_called(this, "_ZN6S927C44v106Ev");}
+void  S927C4 ::v107(){vfunc_called(this, "_ZN6S927C44v107Ev");}
+void  S927C4 ::v108(){vfunc_called(this, "_ZN6S927C44v108Ev");}
+void  S927C4 ::v109(){vfunc_called(this, "_ZN6S927C44v109Ev");}
+void  S927C4 ::v110(){vfunc_called(this, "_ZN6S927C44v110Ev");}
+void  S927C4 ::v111(){vfunc_called(this, "_ZN6S927C44v111Ev");}
+void  S927C4 ::v112(){vfunc_called(this, "_ZN6S927C44v112Ev");}
+void  S927C4 ::v113(){vfunc_called(this, "_ZN6S927C44v113Ev");}
+void  S927C4 ::v114(){vfunc_called(this, "_ZN6S927C44v114Ev");}
+void  S927C4 ::v115(){vfunc_called(this, "_ZN6S927C44v115Ev");}
+void  S927C4 ::v116(){vfunc_called(this, "_ZN6S927C44v116Ev");}
+void  S927C4 ::v117(){vfunc_called(this, "_ZN6S927C44v117Ev");}
+void  S927C4 ::v118(){vfunc_called(this, "_ZN6S927C44v118Ev");}
+void  S927C4 ::v119(){vfunc_called(this, "_ZN6S927C44v119Ev");}
+void  S927C4 ::v120(){vfunc_called(this, "_ZN6S927C44v120Ev");}
+void  S927C4 ::v121(){vfunc_called(this, "_ZN6S927C44v121Ev");}
+void  S927C4 ::v122(){vfunc_called(this, "_ZN6S927C44v122Ev");}
+void  S927C4 ::v123(){vfunc_called(this, "_ZN6S927C44v123Ev");}
+void  S927C4 ::v124(){vfunc_called(this, "_ZN6S927C44v124Ev");}
+void  S927C4 ::v125(){vfunc_called(this, "_ZN6S927C44v125Ev");}
+void  S927C4 ::v126(){vfunc_called(this, "_ZN6S927C44v126Ev");}
+void  S927C4 ::v127(){vfunc_called(this, "_ZN6S927C44v127Ev");}
+void  S927C4 ::v128(){vfunc_called(this, "_ZN6S927C44v128Ev");}
+void  S927C4 ::v129(){vfunc_called(this, "_ZN6S927C44v129Ev");}
+void  S927C4 ::v130(){vfunc_called(this, "_ZN6S927C44v130Ev");}
+void  S927C4 ::v131(){vfunc_called(this, "_ZN6S927C44v131Ev");}
+void  S927C4 ::v132(){vfunc_called(this, "_ZN6S927C44v132Ev");}
+void  S927C4 ::v133(){vfunc_called(this, "_ZN6S927C44v133Ev");}
+void  S927C4 ::v134(){vfunc_called(this, "_ZN6S927C44v134Ev");}
+void  S927C4 ::v135(){vfunc_called(this, "_ZN6S927C44v135Ev");}
+void  S927C4 ::v136(){vfunc_called(this, "_ZN6S927C44v136Ev");}
+void  S927C4 ::v137(){vfunc_called(this, "_ZN6S927C44v137Ev");}
+void  S927C4 ::v138(){vfunc_called(this, "_ZN6S927C44v138Ev");}
+void  S927C4 ::v139(){vfunc_called(this, "_ZN6S927C44v139Ev");}
+void  S927C4 ::v140(){vfunc_called(this, "_ZN6S927C44v140Ev");}
+void  S927C4 ::v141(){vfunc_called(this, "_ZN6S927C44v141Ev");}
+void  S927C4 ::v142(){vfunc_called(this, "_ZN6S927C44v142Ev");}
+void  S927C4 ::v143(){vfunc_called(this, "_ZN6S927C44v143Ev");}
+void  S927C4 ::v144(){vfunc_called(this, "_ZN6S927C44v144Ev");}
+void  S927C4 ::v145(){vfunc_called(this, "_ZN6S927C44v145Ev");}
+void  S927C4 ::v146(){vfunc_called(this, "_ZN6S927C44v146Ev");}
+void  S927C4 ::v147(){vfunc_called(this, "_ZN6S927C44v147Ev");}
+void  S927C4 ::v148(){vfunc_called(this, "_ZN6S927C44v148Ev");}
+void  S927C4 ::v149(){vfunc_called(this, "_ZN6S927C44v149Ev");}
+void  S927C4 ::v150(){vfunc_called(this, "_ZN6S927C44v150Ev");}
+void  S927C4 ::v151(){vfunc_called(this, "_ZN6S927C44v151Ev");}
+void  S927C4 ::v152(){vfunc_called(this, "_ZN6S927C44v152Ev");}
+void  S927C4 ::v153(){vfunc_called(this, "_ZN6S927C44v153Ev");}
+void  S927C4 ::v154(){vfunc_called(this, "_ZN6S927C44v154Ev");}
+void  S927C4 ::v155(){vfunc_called(this, "_ZN6S927C44v155Ev");}
+S927C4 ::S927C4(){ note_ctor("S927C4", this);} // tgen
+
+static void Test_S927C4()
+{
+  extern Class_Descriptor cd_S927C4;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(105,159)];
+    init_test(&cd_S927C4, buf);
+    S927C4 *dp, &lv = *(dp=new (buf) S927C4());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(832,632), "sizeof(S927C4)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S927C4)");
+    check_base_class_offset(lv, (S927C5*), 0, "S927C4");
+    check_field_offset(lv, s927c4f0, ABISELECT(64,40), "S927C4.s927c4f0");
+    check_field_offset(lv, s927c4f1, ABISELECT(80,56), "S927C4.s927c4f1");
+    check_field_offset(lv, s927c4f2, ABISELECT(96,72), "S927C4.s927c4f2");
+    check_field_offset(lv, s927c4f3, ABISELECT(112,88), "S927C4.s927c4f3");
+    check_field_offset(lv, s927c4f4, ABISELECT(128,104), "S927C4.s927c4f4");
+    check_field_offset(lv, s927c4f5, ABISELECT(144,120), "S927C4.s927c4f5");
+    check_field_offset(lv, s927c4f6, ABISELECT(208,184), "S927C4.s927c4f6");
+    check_field_offset(lv, s927c4f7, ABISELECT(272,248), "S927C4.s927c4f7");
+    check_field_offset(lv, s927c4f8, ABISELECT(288,264), "S927C4.s927c4f8");
+    check_field_offset(lv, s927c4f9, ABISELECT(304,280), "S927C4.s927c4f9");
+    check_field_offset(lv, s927c4f10, ABISELECT(320,296), "S927C4.s927c4f10");
+    check_field_offset(lv, s927c4f11, ABISELECT(328,300), "S927C4.s927c4f11");
+    check_field_offset(lv, s927c4f12, ABISELECT(344,316), "S927C4.s927c4f12");
+    check_field_offset(lv, s927c4f13, ABISELECT(368,332), "S927C4.s927c4f13");
+    check_field_offset(lv, s927c4f14, ABISELECT(376,336), "S927C4.s927c4f14");
+    check_field_offset(lv, s927c4f15, ABISELECT(432,364), "S927C4.s927c4f15");
+    set_bf_and_test(lv, s927c4f16, ABISELECT(448,376), 0, 8, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f16, ABISELECT(448,376), 0, 8, hide_sll(1LL<<7), "S927C4");
+    set_bf_and_test(lv, s927c4f17, ABISELECT(449,377), 0, 8, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f17, ABISELECT(449,377), 0, 8, hide_sll(1LL<<7), "S927C4");
+    set_bf_and_test(lv, s927c4f18, ABISELECT(450,378), 0, 16, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f18, ABISELECT(450,378), 0, 16, hide_sll(1LL<<15), "S927C4");
+    set_bf_and_test(lv, s927c4f19, ABISELECT(452,380), 0, 16, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f19, ABISELECT(452,380), 0, 16, hide_sll(1LL<<15), "S927C4");
+    set_bf_and_test(lv, s927c4f20, ABISELECT(454,382), 0, 8, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f20, ABISELECT(454,382), 0, 8, hide_sll(1LL<<7), "S927C4");
+    set_bf_and_test(lv, s927c4f21, ABISELECT(455,383), 0, 8, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f21, ABISELECT(455,383), 0, 8, hide_sll(1LL<<7), "S927C4");
+    set_bf_and_test(lv, s927c4f22, ABISELECT(456,384), 0, 8, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f22, ABISELECT(456,384), 0, 8, hide_sll(1LL<<7), "S927C4");
+    set_bf_and_test(lv, s927c4f23, ABISELECT(460,388), 0, 32, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f23, ABISELECT(460,388), 0, 32, hide_sll(1LL<<31), "S927C4");
+    set_bf_and_test(lv, s927c4f24, ABISELECT(464,392), 0, 8, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f24, ABISELECT(464,392), 0, 8, hide_sll(1LL<<7), "S927C4");
+    set_bf_and_test(lv, s927c4f25, ABISELECT(465,393), 0, 4, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f25, ABISELECT(465,393), 0, 4, hide_sll(1LL<<3), "S927C4");
+    set_bf_and_test(lv, s927c4f26, ABISELECT(465,393), 4, 4, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f26, ABISELECT(465,393), 4, 4, hide_sll(1LL<<3), "S927C4");
+    set_bf_and_test(lv, s927c4f27, ABISELECT(466,394), 0, 4, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f27, ABISELECT(466,394), 0, 4, hide_sll(1LL<<3), "S927C4");
+    set_bf_and_test(lv, s927c4f28, ABISELECT(466,394), 4, 4, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f28, ABISELECT(466,394), 4, 4, hide_sll(1LL<<3), "S927C4");
+    set_bf_and_test(lv, s927c4f29, ABISELECT(467,395), 0, 4, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f29, ABISELECT(467,395), 0, 4, hide_sll(1LL<<3), "S927C4");
+    set_bf_and_test(lv, s927c4f30, ABISELECT(467,395), 4, 4, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f30, ABISELECT(467,395), 4, 4, hide_sll(1LL<<3), "S927C4");
+    set_bf_and_test(lv, s927c4f31, ABISELECT(468,396), 0, 4, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f31, ABISELECT(468,396), 0, 4, hide_sll(1LL<<3), "S927C4");
+    set_bf_and_test(lv, s927c4f32, ABISELECT(468,396), 4, 4, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f32, ABISELECT(468,396), 4, 4, hide_sll(1LL<<3), "S927C4");
+    set_bf_and_test(lv, s927c4f33, ABISELECT(469,397), 0, 4, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f33, ABISELECT(469,397), 0, 4, hide_sll(1LL<<3), "S927C4");
+    set_bf_and_test(lv, s927c4f34, ABISELECT(469,397), 4, 4, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f34, ABISELECT(469,397), 4, 4, hide_sll(1LL<<3), "S927C4");
+    set_bf_and_test(lv, s927c4f35, ABISELECT(470,398), 0, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f36, ABISELECT(470,398), 1, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f37, ABISELECT(470,398), 2, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f38, ABISELECT(470,398), 3, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f39, ABISELECT(470,398), 4, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f40, ABISELECT(470,398), 5, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f41, ABISELECT(470,398), 6, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f42, ABISELECT(470,398), 7, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f43, ABISELECT(471,399), 0, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f44, ABISELECT(471,399), 1, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f45, ABISELECT(471,399), 2, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f46, ABISELECT(471,399), 3, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f47, ABISELECT(471,399), 4, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f48, ABISELECT(471,399), 5, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f49, ABISELECT(471,399), 6, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f50, ABISELECT(471,399), 7, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f51, ABISELECT(472,400), 0, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f52, ABISELECT(472,400), 1, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f53, ABISELECT(472,400), 2, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f54, ABISELECT(472,400), 3, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f55, ABISELECT(472,400), 4, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f56, ABISELECT(472,400), 5, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f57, ABISELECT(472,400), 6, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f58, ABISELECT(472,400), 7, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f59, ABISELECT(473,401), 0, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f60, ABISELECT(473,401), 1, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f61, ABISELECT(473,401), 2, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f62, ABISELECT(473,401), 3, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f63, ABISELECT(473,401), 4, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f64, ABISELECT(473,401), 5, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f65, ABISELECT(473,401), 6, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f66, ABISELECT(473,401), 7, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f67, ABISELECT(474,402), 0, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f68, ABISELECT(474,402), 1, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f69, ABISELECT(474,402), 2, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f70, ABISELECT(474,402), 3, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f71, ABISELECT(474,402), 4, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f72, ABISELECT(474,402), 5, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f73, ABISELECT(474,402), 6, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f74, ABISELECT(474,402), 7, 1, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f75, ABISELECT(475,403), 0, 1, 1, "S927C4");
+    check_field_offset(lv, s927c4f76, ABISELECT(476,404), "S927C4.s927c4f76");
+    check_field_offset(lv, s927c4f77, ABISELECT(504,432), "S927C4.s927c4f77");
+    set_bf_and_test(lv, s927c4f78, ABISELECT(552,456), 0, 8, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f78, ABISELECT(552,456), 0, 8, hide_sll(1LL<<7), "S927C4");
+    set_bf_and_test(lv, s927c4f79, ABISELECT(553,457), 0, 8, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f79, ABISELECT(553,457), 0, 8, hide_sll(1LL<<7), "S927C4");
+    set_bf_and_test(lv, s927c4f80, ABISELECT(554,458), 0, 8, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f80, ABISELECT(554,458), 0, 8, hide_sll(1LL<<7), "S927C4");
+    set_bf_and_test(lv, s927c4f81, ABISELECT(555,459), 0, 8, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f81, ABISELECT(555,459), 0, 8, hide_sll(1LL<<7), "S927C4");
+    set_bf_and_test(lv, s927c4f82, ABISELECT(556,460), 0, 8, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f82, ABISELECT(556,460), 0, 8, hide_sll(1LL<<7), "S927C4");
+    set_bf_and_test(lv, s927c4f83, ABISELECT(557,461), 0, 8, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f83, ABISELECT(557,461), 0, 8, hide_sll(1LL<<7), "S927C4");
+    check_field_offset(lv, s927c4f84, ABISELECT(560,464), "S927C4.s927c4f84");
+    check_field_offset(lv, s927c4f85, ABISELECT(576,476), "S927C4.s927c4f85");
+    set_bf_and_test(lv, s927c4f86, ABISELECT(608,492), 0, 8, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f86, ABISELECT(608,492), 0, 8, hide_sll(1LL<<7), "S927C4");
+    set_bf_and_test(lv, s927c4f87, ABISELECT(609,493), 0, 8, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f87, ABISELECT(609,493), 0, 8, hide_sll(1LL<<7), "S927C4");
+    set_bf_and_test(lv, s927c4f88, ABISELECT(610,494), 0, 8, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f88, ABISELECT(610,494), 0, 8, hide_sll(1LL<<7), "S927C4");
+    set_bf_and_test(lv, s927c4f89, ABISELECT(611,495), 0, 8, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f89, ABISELECT(611,495), 0, 8, hide_sll(1LL<<7), "S927C4");
+    set_bf_and_test(lv, s927c4f90, ABISELECT(612,496), 0, 8, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f90, ABISELECT(612,496), 0, 8, hide_sll(1LL<<7), "S927C4");
+    set_bf_and_test(lv, s927c4f91, ABISELECT(613,497), 0, 16, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f91, ABISELECT(613,497), 0, 16, hide_sll(1LL<<15), "S927C4");
+    set_bf_and_test(lv, s927c4f92, ABISELECT(616,500), 0, 16, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f92, ABISELECT(616,500), 0, 16, hide_sll(1LL<<15), "S927C4");
+    set_bf_and_test(lv, s927c4f93, ABISELECT(618,502), 0, 16, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f93, ABISELECT(618,502), 0, 16, hide_sll(1LL<<15), "S927C4");
+    set_bf_and_test(lv, s927c4f94, ABISELECT(620,504), 0, 4, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f94, ABISELECT(620,504), 0, 4, hide_sll(1LL<<3), "S927C4");
+    set_bf_and_test(lv, s927c4f95, ABISELECT(620,504), 4, 4, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f95, ABISELECT(620,504), 4, 4, hide_sll(1LL<<3), "S927C4");
+    set_bf_and_test(lv, s927c4f96, ABISELECT(621,505), 0, 4, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f96, ABISELECT(621,505), 0, 4, hide_sll(1LL<<3), "S927C4");
+    set_bf_and_test(lv, s927c4f97, ABISELECT(621,505), 4, 4, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f97, ABISELECT(621,505), 4, 4, hide_sll(1LL<<3), "S927C4");
+    set_bf_and_test(lv, s927c4f98, ABISELECT(622,506), 0, 4, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f98, ABISELECT(622,506), 0, 4, hide_sll(1LL<<3), "S927C4");
+    set_bf_and_test(lv, s927c4f99, ABISELECT(622,506), 4, 4, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f99, ABISELECT(622,506), 4, 4, hide_sll(1LL<<3), "S927C4");
+    set_bf_and_test(lv, s927c4f100, ABISELECT(623,507), 0, 4, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f100, ABISELECT(623,507), 0, 4, hide_sll(1LL<<3), "S927C4");
+    set_bf_and_test(lv, s927c4f101, ABISELECT(623,507), 4, 4, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f101, ABISELECT(623,507), 4, 4, hide_sll(1LL<<3), "S927C4");
+    set_bf_and_test(lv, s927c4f102, ABISELECT(624,508), 0, 4, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f102, ABISELECT(624,508), 0, 4, hide_sll(1LL<<3), "S927C4");
+    set_bf_and_test(lv, s927c4f103, ABISELECT(624,508), 4, 4, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f103, ABISELECT(624,508), 4, 4, hide_sll(1LL<<3), "S927C4");
+    set_bf_and_test(lv, s927c4f104, ABISELECT(625,509), 0, 4, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f104, ABISELECT(625,509), 0, 4, hide_sll(1LL<<3), "S927C4");
+    set_bf_and_test(lv, s927c4f105, ABISELECT(625,509), 4, 4, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f105, ABISELECT(625,509), 4, 4, hide_sll(1LL<<3), "S927C4");
+    set_bf_and_test(lv, s927c4f106, ABISELECT(626,510), 0, 8, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f106, ABISELECT(626,510), 0, 8, hide_sll(1LL<<7), "S927C4");
+    set_bf_and_test(lv, s927c4f107, ABISELECT(627,511), 0, 8, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f107, ABISELECT(627,511), 0, 8, hide_sll(1LL<<7), "S927C4");
+    set_bf_and_test(lv, s927c4f108, ABISELECT(628,512), 0, 8, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f108, ABISELECT(628,512), 0, 8, hide_sll(1LL<<7), "S927C4");
+    check_field_offset(lv, s927c4f109, ABISELECT(632,516), "S927C4.s927c4f109");
+    check_field_offset(lv, s927c4f110, ABISELECT(688,544), "S927C4.s927c4f110");
+    check_field_offset(lv, s927c4f111, ABISELECT(712,560), "S927C4.s927c4f111");
+    set_bf_and_test(lv, s927c4f112, ABISELECT(728,568), 0, 16, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f112, ABISELECT(728,568), 0, 16, hide_sll(1LL<<15), "S927C4");
+    set_bf_and_test(lv, s927c4f113, ABISELECT(730,570), 0, 16, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f113, ABISELECT(730,570), 0, 16, hide_sll(1LL<<15), "S927C4");
+    check_field_offset(lv, s927c4f114, ABISELECT(736,572), "S927C4.s927c4f114");
+    check_field_offset(lv, s927c4f115, ABISELECT(752,584), "S927C4.s927c4f115");
+    check_field_offset(lv, s927c4f116, ABISELECT(776,600), "S927C4.s927c4f116");
+    check_field_offset(lv, s927c4f117, ABISELECT(800,616), "S927C4.s927c4f117");
+    set_bf_and_test(lv, s927c4f118, ABISELECT(816,624), 0, 24, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f118, ABISELECT(816,624), 0, 24, hide_sll(1LL<<23), "S927C4");
+    set_bf_and_test(lv, s927c4f119, ABISELECT(819,627), 0, 8, 1, "S927C4");
+    set_bf_and_test(lv, s927c4f119, ABISELECT(819,627), 0, 8, hide_sll(1LL<<7), "S927C4");
+    check_field_offset(lv, s927c4f120, ABISELECT(824,628), "S927C4.s927c4f120");
+    test_class_info(&lv, &cd_S927C4);
+    dp->~S927C4();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS927C4(Test_S927C4, "S927C4", ABISELECT(832,632));
+
+#else // __cplusplus
+
+extern void _ZN6S927C4C1Ev();
+extern void _ZN6S927C4D1Ev();
+Name_Map name_map_S927C4[] = {
+  NSPAIR(_ZN6S927C4C1Ev),
+  NSPAIR(_ZN6S927C4D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S927C5;
+extern VTBL_ENTRY _ZTI6S927C5[];
+extern  VTBL_ENTRY _ZTV6S927C5[];
+static Base_Class bases_S927C4[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S927C5,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI6S927C4[];
+extern void _ZN6S927C4D1Ev();
+extern void _ZN6S927C4D0Ev();
+extern void _ZN6S927C53v55Ev();
+extern void _ZN6S927C53v56Ev();
+extern void _ZN6S927C53v57Ev();
+extern void _ZN6S927C53v58Ev();
+extern void _ZN6S927C53v59Ev();
+extern void _ZN6S927C53v60Ev();
+extern void _ZN6S927C53v61Ev();
+extern void _ZN6S927C53v62Ev();
+extern void _ZN6S927C53v63Ev();
+extern void _ZN6S927C53v64Ev();
+extern void _ZN6S927C53v65Ev();
+extern void _ZN6S927C53v66Ev();
+extern void _ZN6S927C53v67Ev();
+extern void _ZN6S927C53v68Ev();
+extern void _ZN6S927C53v69Ev();
+extern void _ZN6S927C53v70Ev();
+extern void _ZN6S927C53v71Ev();
+extern void _ZN6S927C53v72Ev();
+extern void _ZN6S927C53v73Ev();
+extern void _ZN6S927C53v74Ev();
+extern void _ZN6S927C53v75Ev();
+extern void _ZN6S927C53v76Ev();
+extern void _ZN6S927C53v77Ev();
+extern void _ZN6S927C43v78Ev();
+extern void _ZN6S927C43v79Ev();
+extern void _ZN6S927C43v80Ev();
+extern void _ZN6S927C43v81Ev();
+extern void _ZN6S927C43v82Ev();
+extern void _ZN6S927C43v83Ev();
+extern void _ZN6S927C43v84Ev();
+extern void _ZN6S927C43v85Ev();
+extern void _ZN6S927C43v86Ev();
+extern void _ZN6S927C43v87Ev();
+extern void _ZN6S927C43v88Ev();
+extern void _ZN6S927C43v89Ev();
+extern void _ZN6S927C43v90Ev();
+extern void _ZN6S927C43v91Ev();
+extern void _ZN6S927C43v92Ev();
+extern void _ZN6S927C43v93Ev();
+extern void _ZN6S927C43v94Ev();
+extern void _ZN6S927C43v95Ev();
+extern void _ZN6S927C43v96Ev();
+extern void _ZN6S927C43v97Ev();
+extern void _ZN6S927C43v98Ev();
+extern void _ZN6S927C43v99Ev();
+extern void _ZN6S927C44v100Ev();
+extern void _ZN6S927C44v101Ev();
+extern void _ZN6S927C44v102Ev();
+extern void _ZN6S927C44v103Ev();
+extern void _ZN6S927C44v104Ev();
+extern void _ZN6S927C44v105Ev();
+extern void _ZN6S927C44v106Ev();
+extern void _ZN6S927C44v107Ev();
+extern void _ZN6S927C44v108Ev();
+extern void _ZN6S927C44v109Ev();
+extern void _ZN6S927C44v110Ev();
+extern void _ZN6S927C44v111Ev();
+extern void _ZN6S927C44v112Ev();
+extern void _ZN6S927C44v113Ev();
+extern void _ZN6S927C44v114Ev();
+extern void _ZN6S927C44v115Ev();
+extern void _ZN6S927C44v116Ev();
+extern void _ZN6S927C44v117Ev();
+extern void _ZN6S927C44v118Ev();
+extern void _ZN6S927C44v119Ev();
+extern void _ZN6S927C44v120Ev();
+extern void _ZN6S927C44v121Ev();
+extern void _ZN6S927C44v122Ev();
+extern void _ZN6S927C44v123Ev();
+extern void _ZN6S927C44v124Ev();
+extern void _ZN6S927C44v125Ev();
+extern void _ZN6S927C44v126Ev();
+extern void _ZN6S927C44v127Ev();
+extern void _ZN6S927C44v128Ev();
+extern void _ZN6S927C44v129Ev();
+extern void _ZN6S927C44v130Ev();
+extern void _ZN6S927C44v131Ev();
+extern void _ZN6S927C44v132Ev();
+extern void _ZN6S927C44v133Ev();
+extern void _ZN6S927C44v134Ev();
+extern void _ZN6S927C44v135Ev();
+extern void _ZN6S927C44v136Ev();
+extern void _ZN6S927C44v137Ev();
+extern void _ZN6S927C44v138Ev();
+extern void _ZN6S927C44v139Ev();
+extern void _ZN6S927C44v140Ev();
+extern void _ZN6S927C44v141Ev();
+extern void _ZN6S927C44v142Ev();
+extern void _ZN6S927C44v143Ev();
+extern void _ZN6S927C44v144Ev();
+extern void _ZN6S927C44v145Ev();
+extern void _ZN6S927C44v146Ev();
+extern void _ZN6S927C44v147Ev();
+extern void _ZN6S927C44v148Ev();
+extern void _ZN6S927C44v149Ev();
+extern void _ZN6S927C44v150Ev();
+extern void _ZN6S927C44v151Ev();
+extern void _ZN6S927C44v152Ev();
+extern void _ZN6S927C44v153Ev();
+extern void _ZN6S927C44v154Ev();
+extern void _ZN6S927C44v155Ev();
+static  VTBL_ENTRY vtc_S927C4[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S927C4[0]),
+  (VTBL_ENTRY)&_ZN6S927C4D1Ev,
+  (VTBL_ENTRY)&_ZN6S927C4D0Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v55Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v56Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v57Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v58Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v59Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v60Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v61Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v62Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v63Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v64Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v65Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v77Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v78Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v79Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v80Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v81Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v82Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v83Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v84Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v85Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v86Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v87Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v88Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v89Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v90Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v91Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v92Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v93Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v94Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v95Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v96Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v97Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v98Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v99Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v100Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v101Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v102Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v103Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v104Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v105Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v106Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v107Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v108Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v109Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v110Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v111Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v112Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v113Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v114Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v115Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v116Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v117Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v118Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v119Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v120Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v121Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v122Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v123Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v124Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v125Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v126Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v127Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v128Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v129Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v130Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v131Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v132Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v133Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v134Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v135Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v136Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v137Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v138Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v139Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v140Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v141Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v142Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v143Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v144Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v145Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v146Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v147Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v148Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v149Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v150Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v151Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v152Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v153Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v154Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v155Ev,
+};
+extern VTBL_ENTRY _ZTI6S927C4[];
+extern  VTBL_ENTRY _ZTV6S927C4[];
+Class_Descriptor cd_S927C4 = {  "S927C4", // class name
+  bases_S927C4, 1,
+  &(vtc_S927C4[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(832,632), // object size
+  NSPAIRA(_ZTI6S927C4),ABISELECT(24,12), //typeinfo_var
+  NSPAIRA(_ZTV6S927C4),105, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  1, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S927C3  : S927C4 {
+  int s927c3f0[2];
+  ::S938C40_nt s927c3f1;
+  void *s927c3f2[4];
+  ::S938C60 s927c3f3;
+  ::S938C60 s927c3f4;
+  ::S938C60 s927c3f5;
+  ::S938C40_nt s927c3f6;
+  ::S938C60 s927c3f7;
+  ::S938C40_nt s927c3f8;
+  ::S938C40_nt s927c3f9;
+  ::S938C60 s927c3f10;
+  ::S938C60 s927c3f11;
+  ::S938C60 s927c3f12;
+  ::S938C40_nt s927c3f13;
+  ::S938C60 s927c3f14;
+  ::S938C60 s927c3f15;
+  int s927c3f16[7];
+  ::S938C18 s927c3f17;
+  ::S938C40_nt s927c3f18;
+  ::S938C40_nt s927c3f19;
+  ::S938C40_nt s927c3f20;
+  void *s927c3f21;
+  int s927c3f22;
+  void *s927c3f23[4];
+  char s927c3f24[2];
+  ::S938C27 s927c3f25;
+  int s927c3f26[8];
+  char s927c3f27[6];
+  int s927c3f28;
+  char s927c3f29;
+  int s927c3f30[2];
+  char s927c3f31[2];
+  virtual  ~S927C3(); // _ZN6S927C3D1Ev
+  virtual void  v156(); // _ZN6S927C34v156Ev
+  virtual void  v157(); // _ZN6S927C34v157Ev
+  virtual void  v158(); // _ZN6S927C34v158Ev
+  virtual void  v159(); // _ZN6S927C34v159Ev
+  virtual void  v160(); // _ZN6S927C34v160Ev
+  virtual void  v161(); // _ZN6S927C34v161Ev
+  virtual void  v162(); // _ZN6S927C34v162Ev
+  virtual void  v163(); // _ZN6S927C34v163Ev
+  virtual void  v164(); // _ZN6S927C34v164Ev
+  virtual void  v165(); // _ZN6S927C34v165Ev
+  virtual void  v166(); // _ZN6S927C34v166Ev
+  virtual void  v167(); // _ZN6S927C34v167Ev
+  virtual void  v168(); // _ZN6S927C34v168Ev
+  virtual void  v169(); // _ZN6S927C34v169Ev
+  virtual void  v170(); // _ZN6S927C34v170Ev
+  virtual void  v171(); // _ZN6S927C34v171Ev
+  virtual void  v172(); // _ZN6S927C34v172Ev
+  virtual void  v173(); // _ZN6S927C34v173Ev
+  virtual void  v174(); // _ZN6S927C34v174Ev
+  virtual void  v175(); // _ZN6S927C34v175Ev
+  virtual void  v176(); // _ZN6S927C34v176Ev
+  virtual void  v177(); // _ZN6S927C34v177Ev
+  virtual void  v178(); // _ZN6S927C34v178Ev
+  virtual void  v179(); // _ZN6S927C34v179Ev
+  virtual void  v180(); // _ZN6S927C34v180Ev
+  virtual void  v181(); // _ZN6S927C34v181Ev
+  virtual void  v182(); // _ZN6S927C34v182Ev
+  virtual void  v183(); // _ZN6S927C34v183Ev
+  virtual void  v184(); // _ZN6S927C34v184Ev
+  virtual void  v185(); // _ZN6S927C34v185Ev
+  virtual void  v186(); // _ZN6S927C34v186Ev
+  virtual void  v187(); // _ZN6S927C34v187Ev
+  virtual void  v188(); // _ZN6S927C34v188Ev
+  virtual void  v189(); // _ZN6S927C34v189Ev
+  virtual void  v190(); // _ZN6S927C34v190Ev
+  virtual void  v191(); // _ZN6S927C34v191Ev
+  virtual void  v192(); // _ZN6S927C34v192Ev
+  virtual void  v193(); // _ZN6S927C34v193Ev
+  virtual void  v194(); // _ZN6S927C34v194Ev
+  virtual void  v195(); // _ZN6S927C34v195Ev
+  virtual void  v196(); // _ZN6S927C34v196Ev
+  virtual void  v197(); // _ZN6S927C34v197Ev
+  virtual void  v198(); // _ZN6S927C34v198Ev
+  virtual void  v199(); // _ZN6S927C34v199Ev
+  virtual void  v200(); // _ZN6S927C34v200Ev
+  virtual void  v201(); // _ZN6S927C34v201Ev
+  virtual void  v202(); // _ZN6S927C34v202Ev
+  virtual void  v203(); // _ZN6S927C34v203Ev
+  virtual void  v204(); // _ZN6S927C34v204Ev
+  virtual void  v205(); // _ZN6S927C34v205Ev
+  virtual void  v206(); // _ZN6S927C34v206Ev
+  virtual void  v207(); // _ZN6S927C34v207Ev
+  virtual void  v208(); // _ZN6S927C34v208Ev
+  virtual void  v209(); // _ZN6S927C34v209Ev
+  virtual void  v210(); // _ZN6S927C34v210Ev
+  virtual void  v211(); // _ZN6S927C34v211Ev
+  virtual void  v212(); // _ZN6S927C34v212Ev
+  virtual void  v213(); // _ZN6S927C34v213Ev
+  virtual void  v214(); // _ZN6S927C34v214Ev
+  virtual void  v215(); // _ZN6S927C34v215Ev
+  virtual void  v216(); // _ZN6S927C34v216Ev
+  virtual void  v217(); // _ZN6S927C34v217Ev
+  virtual void  v218(); // _ZN6S927C34v218Ev
+  virtual void  v219(); // _ZN6S927C34v219Ev
+  virtual void  v220(); // _ZN6S927C34v220Ev
+  virtual void  v221(); // _ZN6S927C34v221Ev
+  virtual void  v222(); // _ZN6S927C34v222Ev
+  virtual void  v223(); // _ZN6S927C34v223Ev
+  virtual void  v224(); // _ZN6S927C34v224Ev
+  virtual void  v225(); // _ZN6S927C34v225Ev
+  virtual void  v226(); // _ZN6S927C34v226Ev
+  virtual void  v227(); // _ZN6S927C34v227Ev
+  virtual void  v228(); // _ZN6S927C34v228Ev
+  virtual void  v229(); // _ZN6S927C34v229Ev
+  virtual void  v230(); // _ZN6S927C34v230Ev
+  virtual void  v231(); // _ZN6S927C34v231Ev
+  virtual void  v232(); // _ZN6S927C34v232Ev
+  virtual void  v233(); // _ZN6S927C34v233Ev
+  virtual void  v234(); // _ZN6S927C34v234Ev
+  virtual void  v235(); // _ZN6S927C34v235Ev
+  virtual void  v236(); // _ZN6S927C34v236Ev
+  virtual void  v237(); // _ZN6S927C34v237Ev
+  virtual void  v238(); // _ZN6S927C34v238Ev
+  virtual void  v239(); // _ZN6S927C34v239Ev
+  virtual void  v240(); // _ZN6S927C34v240Ev
+  virtual void  v241(); // _ZN6S927C34v241Ev
+  S927C3(); // tgen
+};
+//SIG(-1 S927C3) C1{ BC2{ BC3{ vd v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 v102 v103 v104 v105 v106 v107 v108 v109 Fp Fi FC4{ BC5{ m Fp Fi FC6{ m} FC7{ m}}} FC8{ BC9{ m Fp Fi FC10{ m} FC11{ m}}} Fi} vd v110 v111 v112 v113 v114 v115 v116 v117 v118 v119 v120 v121 v122 v123 v124 v125 v126 v127 v128 v129 v130 v131 v132 v133 v134 v135 v136 v137 v138 v139 v140 v141 v142 v143 v144 v145 v146 v147 v148 v149 v150 v151 v152 v153 v154 v155 v156 v157 v158 v159 v160 v161 v162 v163 v164 v165 v166 v167 v168 v169 v170 v171 v172 v173 v174 v175 v176 v177 v178 v179 v180 v181 v182 v183 v184 v185 v186 v187 FC12{ m FC13{ m FL[2]}} FC12 FC12 FC14{ m FC13} FC15{ m FC14} FC16{ m FC14 FC14 FC14 FC14} FC16 FC12 FC12 FC12 Fp FC15 FC17{ m FC18{ m Fp Fi FC19{ m}} Fi} Fi Fp[7] FC20{ m Fp Fi FC7 FC21{ m}} Fi:8 Fi:8 Fi:16 Fi:16 Fi:8 Fi:8 Fi:8 Fi:32 Fi:8 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 !
 Fc:1 Fc:1
  Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fi[7] Fp[6] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 FC22{ BC23{ m Fp Fi FC24{ m} FC24}} Fp[4] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:16 Fi:16 Fi:16 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:8 Fi:8 Fi:8 Fp[7] FC25{ m Fp Fi[2] FC6} Fp[2] Fi:16 Fi:16 FC26{ BC5} FC27{ m Fp Fi[2] FC11} FC28{ m Fp Fi[2] FC21} Fp[2] Fi:24 Fi:8 Fp} vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53 v54 v55 v56 v57 v58 v59 v60 v61 v62 v63 v64 v65 v66 v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 v78 v79 v80 v81 v82 v83 v84 v85 v86 Fi[2] FC29{ m FC30{ m FL}} Fp[4] FC12 FC12 FC12 FC29 FC12 FC29 FC29 FC12 FC12 FC12 FC29 FC12 FC12 Fi[7] FC15 FC29 FC29 FC29 Fp Fi Fp[4] Fc[2] FC9 Fi[8] Fc[6] Fi Fc Fi[2] Fc[2]}
+
+
+ S927C3 ::~S927C3(){ note_dtor("S927C3", this);}
+void  S927C3 ::v156(){vfunc_called(this, "_ZN6S927C34v156Ev");}
+void  S927C3 ::v157(){vfunc_called(this, "_ZN6S927C34v157Ev");}
+void  S927C3 ::v158(){vfunc_called(this, "_ZN6S927C34v158Ev");}
+void  S927C3 ::v159(){vfunc_called(this, "_ZN6S927C34v159Ev");}
+void  S927C3 ::v160(){vfunc_called(this, "_ZN6S927C34v160Ev");}
+void  S927C3 ::v161(){vfunc_called(this, "_ZN6S927C34v161Ev");}
+void  S927C3 ::v162(){vfunc_called(this, "_ZN6S927C34v162Ev");}
+void  S927C3 ::v163(){vfunc_called(this, "_ZN6S927C34v163Ev");}
+void  S927C3 ::v164(){vfunc_called(this, "_ZN6S927C34v164Ev");}
+void  S927C3 ::v165(){vfunc_called(this, "_ZN6S927C34v165Ev");}
+void  S927C3 ::v166(){vfunc_called(this, "_ZN6S927C34v166Ev");}
+void  S927C3 ::v167(){vfunc_called(this, "_ZN6S927C34v167Ev");}
+void  S927C3 ::v168(){vfunc_called(this, "_ZN6S927C34v168Ev");}
+void  S927C3 ::v169(){vfunc_called(this, "_ZN6S927C34v169Ev");}
+void  S927C3 ::v170(){vfunc_called(this, "_ZN6S927C34v170Ev");}
+void  S927C3 ::v171(){vfunc_called(this, "_ZN6S927C34v171Ev");}
+void  S927C3 ::v172(){vfunc_called(this, "_ZN6S927C34v172Ev");}
+void  S927C3 ::v173(){vfunc_called(this, "_ZN6S927C34v173Ev");}
+void  S927C3 ::v174(){vfunc_called(this, "_ZN6S927C34v174Ev");}
+void  S927C3 ::v175(){vfunc_called(this, "_ZN6S927C34v175Ev");}
+void  S927C3 ::v176(){vfunc_called(this, "_ZN6S927C34v176Ev");}
+void  S927C3 ::v177(){vfunc_called(this, "_ZN6S927C34v177Ev");}
+void  S927C3 ::v178(){vfunc_called(this, "_ZN6S927C34v178Ev");}
+void  S927C3 ::v179(){vfunc_called(this, "_ZN6S927C34v179Ev");}
+void  S927C3 ::v180(){vfunc_called(this, "_ZN6S927C34v180Ev");}
+void  S927C3 ::v181(){vfunc_called(this, "_ZN6S927C34v181Ev");}
+void  S927C3 ::v182(){vfunc_called(this, "_ZN6S927C34v182Ev");}
+void  S927C3 ::v183(){vfunc_called(this, "_ZN6S927C34v183Ev");}
+void  S927C3 ::v184(){vfunc_called(this, "_ZN6S927C34v184Ev");}
+void  S927C3 ::v185(){vfunc_called(this, "_ZN6S927C34v185Ev");}
+void  S927C3 ::v186(){vfunc_called(this, "_ZN6S927C34v186Ev");}
+void  S927C3 ::v187(){vfunc_called(this, "_ZN6S927C34v187Ev");}
+void  S927C3 ::v188(){vfunc_called(this, "_ZN6S927C34v188Ev");}
+void  S927C3 ::v189(){vfunc_called(this, "_ZN6S927C34v189Ev");}
+void  S927C3 ::v190(){vfunc_called(this, "_ZN6S927C34v190Ev");}
+void  S927C3 ::v191(){vfunc_called(this, "_ZN6S927C34v191Ev");}
+void  S927C3 ::v192(){vfunc_called(this, "_ZN6S927C34v192Ev");}
+void  S927C3 ::v193(){vfunc_called(this, "_ZN6S927C34v193Ev");}
+void  S927C3 ::v194(){vfunc_called(this, "_ZN6S927C34v194Ev");}
+void  S927C3 ::v195(){vfunc_called(this, "_ZN6S927C34v195Ev");}
+void  S927C3 ::v196(){vfunc_called(this, "_ZN6S927C34v196Ev");}
+void  S927C3 ::v197(){vfunc_called(this, "_ZN6S927C34v197Ev");}
+void  S927C3 ::v198(){vfunc_called(this, "_ZN6S927C34v198Ev");}
+void  S927C3 ::v199(){vfunc_called(this, "_ZN6S927C34v199Ev");}
+void  S927C3 ::v200(){vfunc_called(this, "_ZN6S927C34v200Ev");}
+void  S927C3 ::v201(){vfunc_called(this, "_ZN6S927C34v201Ev");}
+void  S927C3 ::v202(){vfunc_called(this, "_ZN6S927C34v202Ev");}
+void  S927C3 ::v203(){vfunc_called(this, "_ZN6S927C34v203Ev");}
+void  S927C3 ::v204(){vfunc_called(this, "_ZN6S927C34v204Ev");}
+void  S927C3 ::v205(){vfunc_called(this, "_ZN6S927C34v205Ev");}
+void  S927C3 ::v206(){vfunc_called(this, "_ZN6S927C34v206Ev");}
+void  S927C3 ::v207(){vfunc_called(this, "_ZN6S927C34v207Ev");}
+void  S927C3 ::v208(){vfunc_called(this, "_ZN6S927C34v208Ev");}
+void  S927C3 ::v209(){vfunc_called(this, "_ZN6S927C34v209Ev");}
+void  S927C3 ::v210(){vfunc_called(this, "_ZN6S927C34v210Ev");}
+void  S927C3 ::v211(){vfunc_called(this, "_ZN6S927C34v211Ev");}
+void  S927C3 ::v212(){vfunc_called(this, "_ZN6S927C34v212Ev");}
+void  S927C3 ::v213(){vfunc_called(this, "_ZN6S927C34v213Ev");}
+void  S927C3 ::v214(){vfunc_called(this, "_ZN6S927C34v214Ev");}
+void  S927C3 ::v215(){vfunc_called(this, "_ZN6S927C34v215Ev");}
+void  S927C3 ::v216(){vfunc_called(this, "_ZN6S927C34v216Ev");}
+void  S927C3 ::v217(){vfunc_called(this, "_ZN6S927C34v217Ev");}
+void  S927C3 ::v218(){vfunc_called(this, "_ZN6S927C34v218Ev");}
+void  S927C3 ::v219(){vfunc_called(this, "_ZN6S927C34v219Ev");}
+void  S927C3 ::v220(){vfunc_called(this, "_ZN6S927C34v220Ev");}
+void  S927C3 ::v221(){vfunc_called(this, "_ZN6S927C34v221Ev");}
+void  S927C3 ::v222(){vfunc_called(this, "_ZN6S927C34v222Ev");}
+void  S927C3 ::v223(){vfunc_called(this, "_ZN6S927C34v223Ev");}
+void  S927C3 ::v224(){vfunc_called(this, "_ZN6S927C34v224Ev");}
+void  S927C3 ::v225(){vfunc_called(this, "_ZN6S927C34v225Ev");}
+void  S927C3 ::v226(){vfunc_called(this, "_ZN6S927C34v226Ev");}
+void  S927C3 ::v227(){vfunc_called(this, "_ZN6S927C34v227Ev");}
+void  S927C3 ::v228(){vfunc_called(this, "_ZN6S927C34v228Ev");}
+void  S927C3 ::v229(){vfunc_called(this, "_ZN6S927C34v229Ev");}
+void  S927C3 ::v230(){vfunc_called(this, "_ZN6S927C34v230Ev");}
+void  S927C3 ::v231(){vfunc_called(this, "_ZN6S927C34v231Ev");}
+void  S927C3 ::v232(){vfunc_called(this, "_ZN6S927C34v232Ev");}
+void  S927C3 ::v233(){vfunc_called(this, "_ZN6S927C34v233Ev");}
+void  S927C3 ::v234(){vfunc_called(this, "_ZN6S927C34v234Ev");}
+void  S927C3 ::v235(){vfunc_called(this, "_ZN6S927C34v235Ev");}
+void  S927C3 ::v236(){vfunc_called(this, "_ZN6S927C34v236Ev");}
+void  S927C3 ::v237(){vfunc_called(this, "_ZN6S927C34v237Ev");}
+void  S927C3 ::v238(){vfunc_called(this, "_ZN6S927C34v238Ev");}
+void  S927C3 ::v239(){vfunc_called(this, "_ZN6S927C34v239Ev");}
+void  S927C3 ::v240(){vfunc_called(this, "_ZN6S927C34v240Ev");}
+void  S927C3 ::v241(){vfunc_called(this, "_ZN6S927C34v241Ev");}
+S927C3 ::S927C3(){ note_ctor("S927C3", this);} // tgen
+
+static void Test_S927C3()
+{
+  extern Class_Descriptor cd_S927C3;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(159,253)];
+    init_test(&cd_S927C3, buf);
+    S927C3 *dp, &lv = *(dp=new (buf) S927C3());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(1264,1008), "sizeof(S927C3)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S927C3)");
+    check_base_class_offset(lv, (S927C5*)(S927C4*), 0, "S927C3");
+    check_base_class_offset(lv, (S927C4*), 0, "S927C3");
+    check_field_offset(lv, s927c3f0, ABISELECT(832,632), "S927C3.s927c3f0");
+    check_field_offset(lv, s927c3f1, ABISELECT(840,640), "S927C3.s927c3f1");
+    check_field_offset(lv, s927c3f2, ABISELECT(848,648), "S927C3.s927c3f2");
+    check_field_offset(lv, s927c3f3, ABISELECT(880,664), "S927C3.s927c3f3");
+    check_field_offset(lv, s927c3f4, ABISELECT(896,680), "S927C3.s927c3f4");
+    check_field_offset(lv, s927c3f5, ABISELECT(912,696), "S927C3.s927c3f5");
+    check_field_offset(lv, s927c3f6, ABISELECT(928,712), "S927C3.s927c3f6");
+    check_field_offset(lv, s927c3f7, ABISELECT(936,720), "S927C3.s927c3f7");
+    check_field_offset(lv, s927c3f8, ABISELECT(952,736), "S927C3.s927c3f8");
+    check_field_offset(lv, s927c3f9, ABISELECT(960,744), "S927C3.s927c3f9");
+    check_field_offset(lv, s927c3f10, ABISELECT(968,752), "S927C3.s927c3f10");
+    check_field_offset(lv, s927c3f11, ABISELECT(984,768), "S927C3.s927c3f11");
+    check_field_offset(lv, s927c3f12, ABISELECT(1000,784), "S927C3.s927c3f12");
+    check_field_offset(lv, s927c3f13, ABISELECT(1016,800), "S927C3.s927c3f13");
+    check_field_offset(lv, s927c3f14, ABISELECT(1024,808), "S927C3.s927c3f14");
+    check_field_offset(lv, s927c3f15, ABISELECT(1040,824), "S927C3.s927c3f15");
+    check_field_offset(lv, s927c3f16, ABISELECT(1056,840), "S927C3.s927c3f16");
+    check_field_offset(lv, s927c3f17, ABISELECT(1088,868), "S927C3.s927c3f17");
+    check_field_offset(lv, s927c3f18, ABISELECT(1104,884), "S927C3.s927c3f18");
+    check_field_offset(lv, s927c3f19, ABISELECT(1112,892), "S927C3.s927c3f19");
+    check_field_offset(lv, s927c3f20, ABISELECT(1120,900), "S927C3.s927c3f20");
+    check_field_offset(lv, s927c3f21, ABISELECT(1128,908), "S927C3.s927c3f21");
+    check_field_offset(lv, s927c3f22, ABISELECT(1136,912), "S927C3.s927c3f22");
+    check_field_offset(lv, s927c3f23, ABISELECT(1144,916), "S927C3.s927c3f23");
+    check_field_offset(lv, s927c3f24, ABISELECT(1176,932), "S927C3.s927c3f24");
+    check_field_offset(lv, s927c3f25, ABISELECT(1184,936), "S927C3.s927c3f25");
+    check_field_offset(lv, s927c3f26, ABISELECT(1200,948), "S927C3.s927c3f26");
+    check_field_offset(lv, s927c3f27, ABISELECT(1232,980), "S927C3.s927c3f27");
+    check_field_offset(lv, s927c3f28, ABISELECT(1240,988), "S927C3.s927c3f28");
+    check_field_offset(lv, s927c3f29, ABISELECT(1244,992), "S927C3.s927c3f29");
+    check_field_offset(lv, s927c3f30, ABISELECT(1248,996), "S927C3.s927c3f30");
+    check_field_offset(lv, s927c3f31, ABISELECT(1256,1004), "S927C3.s927c3f31");
+    test_class_info(&lv, &cd_S927C3);
+    dp->~S927C3();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS927C3(Test_S927C3, "S927C3", ABISELECT(1264,1008));
+
+#else // __cplusplus
+
+extern void _ZN6S927C3C1Ev();
+extern void _ZN6S927C3D1Ev();
+Name_Map name_map_S927C3[] = {
+  NSPAIR(_ZN6S927C3C1Ev),
+  NSPAIR(_ZN6S927C3D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S927C5;
+extern VTBL_ENTRY _ZTI6S927C5[];
+extern  VTBL_ENTRY _ZTV6S927C5[];
+extern Class_Descriptor cd_S927C4;
+extern VTBL_ENTRY _ZTI6S927C4[];
+extern  VTBL_ENTRY _ZTV6S927C4[];
+static Base_Class bases_S927C3[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S927C5,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    1, //immediately_derived
+  0, 0},
+  {&cd_S927C4,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    2, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI6S927C3[];
+extern void _ZN6S927C3D1Ev();
+extern void _ZN6S927C3D0Ev();
+extern void _ZN6S927C53v55Ev();
+extern void _ZN6S927C53v56Ev();
+extern void _ZN6S927C53v57Ev();
+extern void _ZN6S927C53v58Ev();
+extern void _ZN6S927C53v59Ev();
+extern void _ZN6S927C53v60Ev();
+extern void _ZN6S927C53v61Ev();
+extern void _ZN6S927C53v62Ev();
+extern void _ZN6S927C53v63Ev();
+extern void _ZN6S927C53v64Ev();
+extern void _ZN6S927C53v65Ev();
+extern void _ZN6S927C53v66Ev();
+extern void _ZN6S927C53v67Ev();
+extern void _ZN6S927C53v68Ev();
+extern void _ZN6S927C53v69Ev();
+extern void _ZN6S927C53v70Ev();
+extern void _ZN6S927C53v71Ev();
+extern void _ZN6S927C53v72Ev();
+extern void _ZN6S927C53v73Ev();
+extern void _ZN6S927C53v74Ev();
+extern void _ZN6S927C53v75Ev();
+extern void _ZN6S927C53v76Ev();
+extern void _ZN6S927C53v77Ev();
+extern void _ZN6S927C43v78Ev();
+extern void _ZN6S927C43v79Ev();
+extern void _ZN6S927C43v80Ev();
+extern void _ZN6S927C43v81Ev();
+extern void _ZN6S927C43v82Ev();
+extern void _ZN6S927C43v83Ev();
+extern void _ZN6S927C43v84Ev();
+extern void _ZN6S927C43v85Ev();
+extern void _ZN6S927C43v86Ev();
+extern void _ZN6S927C43v87Ev();
+extern void _ZN6S927C43v88Ev();
+extern void _ZN6S927C43v89Ev();
+extern void _ZN6S927C43v90Ev();
+extern void _ZN6S927C43v91Ev();
+extern void _ZN6S927C43v92Ev();
+extern void _ZN6S927C43v93Ev();
+extern void _ZN6S927C43v94Ev();
+extern void _ZN6S927C43v95Ev();
+extern void _ZN6S927C43v96Ev();
+extern void _ZN6S927C43v97Ev();
+extern void _ZN6S927C43v98Ev();
+extern void _ZN6S927C43v99Ev();
+extern void _ZN6S927C44v100Ev();
+extern void _ZN6S927C44v101Ev();
+extern void _ZN6S927C44v102Ev();
+extern void _ZN6S927C44v103Ev();
+extern void _ZN6S927C44v104Ev();
+extern void _ZN6S927C44v105Ev();
+extern void _ZN6S927C44v106Ev();
+extern void _ZN6S927C44v107Ev();
+extern void _ZN6S927C44v108Ev();
+extern void _ZN6S927C44v109Ev();
+extern void _ZN6S927C44v110Ev();
+extern void _ZN6S927C44v111Ev();
+extern void _ZN6S927C44v112Ev();
+extern void _ZN6S927C44v113Ev();
+extern void _ZN6S927C44v114Ev();
+extern void _ZN6S927C44v115Ev();
+extern void _ZN6S927C44v116Ev();
+extern void _ZN6S927C44v117Ev();
+extern void _ZN6S927C44v118Ev();
+extern void _ZN6S927C44v119Ev();
+extern void _ZN6S927C44v120Ev();
+extern void _ZN6S927C44v121Ev();
+extern void _ZN6S927C44v122Ev();
+extern void _ZN6S927C44v123Ev();
+extern void _ZN6S927C44v124Ev();
+extern void _ZN6S927C44v125Ev();
+extern void _ZN6S927C44v126Ev();
+extern void _ZN6S927C44v127Ev();
+extern void _ZN6S927C44v128Ev();
+extern void _ZN6S927C44v129Ev();
+extern void _ZN6S927C44v130Ev();
+extern void _ZN6S927C44v131Ev();
+extern void _ZN6S927C44v132Ev();
+extern void _ZN6S927C44v133Ev();
+extern void _ZN6S927C44v134Ev();
+extern void _ZN6S927C44v135Ev();
+extern void _ZN6S927C44v136Ev();
+extern void _ZN6S927C44v137Ev();
+extern void _ZN6S927C44v138Ev();
+extern void _ZN6S927C44v139Ev();
+extern void _ZN6S927C44v140Ev();
+extern void _ZN6S927C44v141Ev();
+extern void _ZN6S927C44v142Ev();
+extern void _ZN6S927C44v143Ev();
+extern void _ZN6S927C44v144Ev();
+extern void _ZN6S927C44v145Ev();
+extern void _ZN6S927C44v146Ev();
+extern void _ZN6S927C44v147Ev();
+extern void _ZN6S927C44v148Ev();
+extern void _ZN6S927C44v149Ev();
+extern void _ZN6S927C44v150Ev();
+extern void _ZN6S927C44v151Ev();
+extern void _ZN6S927C44v152Ev();
+extern void _ZN6S927C44v153Ev();
+extern void _ZN6S927C44v154Ev();
+extern void _ZN6S927C44v155Ev();
+extern void _ZN6S927C34v156Ev();
+extern void _ZN6S927C34v157Ev();
+extern void _ZN6S927C34v158Ev();
+extern void _ZN6S927C34v159Ev();
+extern void _ZN6S927C34v160Ev();
+extern void _ZN6S927C34v161Ev();
+extern void _ZN6S927C34v162Ev();
+extern void _ZN6S927C34v163Ev();
+extern void _ZN6S927C34v164Ev();
+extern void _ZN6S927C34v165Ev();
+extern void _ZN6S927C34v166Ev();
+extern void _ZN6S927C34v167Ev();
+extern void _ZN6S927C34v168Ev();
+extern void _ZN6S927C34v169Ev();
+extern void _ZN6S927C34v170Ev();
+extern void _ZN6S927C34v171Ev();
+extern void _ZN6S927C34v172Ev();
+extern void _ZN6S927C34v173Ev();
+extern void _ZN6S927C34v174Ev();
+extern void _ZN6S927C34v175Ev();
+extern void _ZN6S927C34v176Ev();
+extern void _ZN6S927C34v177Ev();
+extern void _ZN6S927C34v178Ev();
+extern void _ZN6S927C34v179Ev();
+extern void _ZN6S927C34v180Ev();
+extern void _ZN6S927C34v181Ev();
+extern void _ZN6S927C34v182Ev();
+extern void _ZN6S927C34v183Ev();
+extern void _ZN6S927C34v184Ev();
+extern void _ZN6S927C34v185Ev();
+extern void _ZN6S927C34v186Ev();
+extern void _ZN6S927C34v187Ev();
+extern void _ZN6S927C34v188Ev();
+extern void _ZN6S927C34v189Ev();
+extern void _ZN6S927C34v190Ev();
+extern void _ZN6S927C34v191Ev();
+extern void _ZN6S927C34v192Ev();
+extern void _ZN6S927C34v193Ev();
+extern void _ZN6S927C34v194Ev();
+extern void _ZN6S927C34v195Ev();
+extern void _ZN6S927C34v196Ev();
+extern void _ZN6S927C34v197Ev();
+extern void _ZN6S927C34v198Ev();
+extern void _ZN6S927C34v199Ev();
+extern void _ZN6S927C34v200Ev();
+extern void _ZN6S927C34v201Ev();
+extern void _ZN6S927C34v202Ev();
+extern void _ZN6S927C34v203Ev();
+extern void _ZN6S927C34v204Ev();
+extern void _ZN6S927C34v205Ev();
+extern void _ZN6S927C34v206Ev();
+extern void _ZN6S927C34v207Ev();
+extern void _ZN6S927C34v208Ev();
+extern void _ZN6S927C34v209Ev();
+extern void _ZN6S927C34v210Ev();
+extern void _ZN6S927C34v211Ev();
+extern void _ZN6S927C34v212Ev();
+extern void _ZN6S927C34v213Ev();
+extern void _ZN6S927C34v214Ev();
+extern void _ZN6S927C34v215Ev();
+extern void _ZN6S927C34v216Ev();
+extern void _ZN6S927C34v217Ev();
+extern void _ZN6S927C34v218Ev();
+extern void _ZN6S927C34v219Ev();
+extern void _ZN6S927C34v220Ev();
+extern void _ZN6S927C34v221Ev();
+extern void _ZN6S927C34v222Ev();
+extern void _ZN6S927C34v223Ev();
+extern void _ZN6S927C34v224Ev();
+extern void _ZN6S927C34v225Ev();
+extern void _ZN6S927C34v226Ev();
+extern void _ZN6S927C34v227Ev();
+extern void _ZN6S927C34v228Ev();
+extern void _ZN6S927C34v229Ev();
+extern void _ZN6S927C34v230Ev();
+extern void _ZN6S927C34v231Ev();
+extern void _ZN6S927C34v232Ev();
+extern void _ZN6S927C34v233Ev();
+extern void _ZN6S927C34v234Ev();
+extern void _ZN6S927C34v235Ev();
+extern void _ZN6S927C34v236Ev();
+extern void _ZN6S927C34v237Ev();
+extern void _ZN6S927C34v238Ev();
+extern void _ZN6S927C34v239Ev();
+extern void _ZN6S927C34v240Ev();
+extern void _ZN6S927C34v241Ev();
+static  VTBL_ENTRY vtc_S927C3[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S927C3[0]),
+  (VTBL_ENTRY)&_ZN6S927C3D1Ev,
+  (VTBL_ENTRY)&_ZN6S927C3D0Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v55Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v56Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v57Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v58Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v59Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v60Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v61Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v62Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v63Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v64Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v65Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v77Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v78Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v79Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v80Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v81Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v82Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v83Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v84Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v85Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v86Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v87Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v88Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v89Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v90Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v91Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v92Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v93Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v94Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v95Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v96Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v97Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v98Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v99Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v100Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v101Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v102Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v103Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v104Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v105Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v106Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v107Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v108Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v109Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v110Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v111Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v112Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v113Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v114Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v115Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v116Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v117Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v118Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v119Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v120Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v121Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v122Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v123Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v124Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v125Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v126Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v127Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v128Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v129Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v130Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v131Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v132Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v133Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v134Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v135Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v136Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v137Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v138Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v139Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v140Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v141Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v142Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v143Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v144Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v145Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v146Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v147Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v148Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v149Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v150Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v151Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v152Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v153Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v154Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v155Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v156Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v157Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v158Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v159Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v160Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v161Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v162Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v163Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v164Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v165Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v166Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v167Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v168Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v169Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v170Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v171Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v172Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v173Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v174Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v175Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v176Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v177Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v178Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v179Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v180Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v181Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v182Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v183Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v184Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v185Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v186Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v187Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v188Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v189Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v190Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v191Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v192Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v193Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v194Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v195Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v196Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v197Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v198Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v199Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v200Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v201Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v202Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v203Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v204Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v205Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v206Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v207Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v208Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v209Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v210Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v211Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v212Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v213Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v214Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v215Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v216Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v217Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v218Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v219Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v220Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v221Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v222Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v223Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v224Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v225Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v226Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v227Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v228Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v229Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v230Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v231Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v232Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v233Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v234Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v235Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v236Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v237Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v238Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v239Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v240Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v241Ev,
+};
+extern VTBL_ENTRY _ZTI6S927C3[];
+extern  VTBL_ENTRY _ZTV6S927C3[];
+Class_Descriptor cd_S927C3 = {  "S927C3", // class name
+  bases_S927C3, 2,
+  &(vtc_S927C3[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(1264,1008), // object size
+  NSPAIRA(_ZTI6S927C3),ABISELECT(24,12), //typeinfo_var
+  NSPAIRA(_ZTV6S927C3),191, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  2, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S927C2  : S927C3 {
+  ::S927C32_nt s927c2f0;
+  ::S927C38_nt s927c2f1;
+  char s927c2f2[2];
+  ::S938C40_nt s927c2f3;
+  ::S938C40_nt s927c2f4;
+  ::S938C60 s927c2f5;
+  virtual  ~S927C2(); // _ZN6S927C2D1Ev
+  virtual void  v242(); // _ZN6S927C24v242Ev
+  virtual void  v243(); // _ZN6S927C24v243Ev
+  virtual void  v244(); // _ZN6S927C24v244Ev
+  virtual void  v245(); // _ZN6S927C24v245Ev
+  virtual void  v246(); // _ZN6S927C24v246Ev
+  virtual void  v247(); // _ZN6S927C24v247Ev
+  virtual void  v248(); // _ZN6S927C24v248Ev
+  virtual void  v249(); // _ZN6S927C24v249Ev
+  virtual void  v250(); // _ZN6S927C24v250Ev
+  S927C2(); // tgen
+};
+//SIG(-1 S927C2) C1{ BC2{ BC3{ BC4{ vd v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 Fp Fi FC5{ BC6{ m Fp Fi FC7{ m} FC8{ m}}} FC9{ BC10{ m Fp Fi FC11{ m} FC12{ m}}} Fi} vd v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53 v54 v55 v56 v57 v58 v59 v60 v61 v62 v63 v64 v65 v66 v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 v78 v79 v80 v81 v82 v83 v84 v85 v86 v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 v102 v103 v104 v105 v106 v107 v108 v109 v110 FC13{ m FC14{ m FL[2]}} FC13 FC13 FC15{ m FC14} FC16{ m FC15} FC17{ m FC15 FC15 FC15 FC15} FC17 FC13 FC13 FC13 Fp FC16 FC18{ m FC19{ m Fp Fi FC20{ m}} Fi} Fi Fp[7] FC21{ m Fp Fi FC8 FC22{ m}} Fi:8 Fi:8 Fi:16 Fi:16 Fi:8 Fi:8 Fi:8 Fi:32 Fi:8 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 F!
 c:1 Fc:1 
 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fi[7] Fp[6] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 FC23{ BC24{ m Fp Fi FC25{ m} FC25}} Fp[4] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:16 Fi:16 Fi:16 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:8 Fi:8 Fi:8 Fp[7] FC26{ m Fp Fi[2] FC7} Fp[2] Fi:16 Fi:16 FC27{ BC6} FC28{ m Fp Fi[2] FC12} FC29{ m Fp Fi[2] FC22} Fp[2] Fi:24 Fi:8 Fp} vd v111 v112 v113 v114 v115 v116 v117 v118 v119 v120 v121 v122 v123 v124 v125 v126 v127 v128 v129 v130 v131 v132 v133 v134 v135 v136 v137 v138 v139 v140 v141 v142 v143 v144 v145 v146 v147 v148 v149 v150 v151 v152 v153 v154 v155 v156 v157 v158 v159 v160 v161 v162 v163 v164 v165 v166 v167 v168 v169 v170 v171 v172 v173 v174 v175 v176 v177 v178 v179 v180 v181 v182 v183 v184 v185 v186 v187 v188 v189 v190 v191 v192 v193 v194 v195 v196 Fi[2] FC30{ m FC31{ m FL}} Fp[4] FC13 FC13 FC13 FC30 FC13 FC30 FC30 FC13 FC13 FC13 FC30 FC13 FC13 Fi[7] FC16 FC30 FC30 FC30 Fp Fi Fp[4] Fc[2] FC10 Fi[8] Fc[6] Fi Fc Fi[2] Fc[2]} vd v1 v2 v3!
  v4 v5 v6
  v7 v8 v9 FC27 FC29 Fc[2] FC30 FC30 FC13}
+
+
+ S927C2 ::~S927C2(){ note_dtor("S927C2", this);}
+void  S927C2 ::v242(){vfunc_called(this, "_ZN6S927C24v242Ev");}
+void  S927C2 ::v243(){vfunc_called(this, "_ZN6S927C24v243Ev");}
+void  S927C2 ::v244(){vfunc_called(this, "_ZN6S927C24v244Ev");}
+void  S927C2 ::v245(){vfunc_called(this, "_ZN6S927C24v245Ev");}
+void  S927C2 ::v246(){vfunc_called(this, "_ZN6S927C24v246Ev");}
+void  S927C2 ::v247(){vfunc_called(this, "_ZN6S927C24v247Ev");}
+void  S927C2 ::v248(){vfunc_called(this, "_ZN6S927C24v248Ev");}
+void  S927C2 ::v249(){vfunc_called(this, "_ZN6S927C24v249Ev");}
+void  S927C2 ::v250(){vfunc_called(this, "_ZN6S927C24v250Ev");}
+S927C2 ::S927C2(){ note_ctor("S927C2", this);} // tgen
+
+static void Test_S927C2()
+{
+  extern Class_Descriptor cd_S927C2;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(169,269)];
+    init_test(&cd_S927C2, buf);
+    S927C2 *dp, &lv = *(dp=new (buf) S927C2());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(1344,1072), "sizeof(S927C2)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S927C2)");
+    check_base_class_offset(lv, (S927C5*)(S927C4*)(S927C3*), 0, "S927C2");
+    check_base_class_offset(lv, (S927C4*)(S927C3*), 0, "S927C2");
+    check_base_class_offset(lv, (S927C3*), 0, "S927C2");
+    check_field_offset(lv, s927c2f0, ABISELECT(1264,1008), "S927C2.s927c2f0");
+    check_field_offset(lv, s927c2f1, ABISELECT(1280,1020), "S927C2.s927c2f1");
+    check_field_offset(lv, s927c2f2, ABISELECT(1304,1036), "S927C2.s927c2f2");
+    check_field_offset(lv, s927c2f3, ABISELECT(1312,1040), "S927C2.s927c2f3");
+    check_field_offset(lv, s927c2f4, ABISELECT(1320,1048), "S927C2.s927c2f4");
+    check_field_offset(lv, s927c2f5, ABISELECT(1328,1056), "S927C2.s927c2f5");
+    test_class_info(&lv, &cd_S927C2);
+    dp->~S927C2();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS927C2(Test_S927C2, "S927C2", ABISELECT(1344,1072));
+
+#else // __cplusplus
+
+extern void _ZN6S927C2C1Ev();
+extern void _ZN6S927C2D1Ev();
+Name_Map name_map_S927C2[] = {
+  NSPAIR(_ZN6S927C2C1Ev),
+  NSPAIR(_ZN6S927C2D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S927C5;
+extern VTBL_ENTRY _ZTI6S927C5[];
+extern  VTBL_ENTRY _ZTV6S927C5[];
+extern Class_Descriptor cd_S927C4;
+extern VTBL_ENTRY _ZTI6S927C4[];
+extern  VTBL_ENTRY _ZTV6S927C4[];
+extern Class_Descriptor cd_S927C3;
+extern VTBL_ENTRY _ZTI6S927C3[];
+extern  VTBL_ENTRY _ZTV6S927C3[];
+static Base_Class bases_S927C2[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S927C5,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    1, //immediately_derived
+  0, 0},
+  {&cd_S927C4,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    2, //init_seq
+    2, //immediately_derived
+  0, 0},
+  {&cd_S927C3,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    3, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI6S927C2[];
+extern void _ZN6S927C2D1Ev();
+extern void _ZN6S927C2D0Ev();
+extern void _ZN6S927C53v55Ev();
+extern void _ZN6S927C53v56Ev();
+extern void _ZN6S927C53v57Ev();
+extern void _ZN6S927C53v58Ev();
+extern void _ZN6S927C53v59Ev();
+extern void _ZN6S927C53v60Ev();
+extern void _ZN6S927C53v61Ev();
+extern void _ZN6S927C53v62Ev();
+extern void _ZN6S927C53v63Ev();
+extern void _ZN6S927C53v64Ev();
+extern void _ZN6S927C53v65Ev();
+extern void _ZN6S927C53v66Ev();
+extern void _ZN6S927C53v67Ev();
+extern void _ZN6S927C53v68Ev();
+extern void _ZN6S927C53v69Ev();
+extern void _ZN6S927C53v70Ev();
+extern void _ZN6S927C53v71Ev();
+extern void _ZN6S927C53v72Ev();
+extern void _ZN6S927C53v73Ev();
+extern void _ZN6S927C53v74Ev();
+extern void _ZN6S927C53v75Ev();
+extern void _ZN6S927C53v76Ev();
+extern void _ZN6S927C53v77Ev();
+extern void _ZN6S927C43v78Ev();
+extern void _ZN6S927C43v79Ev();
+extern void _ZN6S927C43v80Ev();
+extern void _ZN6S927C43v81Ev();
+extern void _ZN6S927C43v82Ev();
+extern void _ZN6S927C43v83Ev();
+extern void _ZN6S927C43v84Ev();
+extern void _ZN6S927C43v85Ev();
+extern void _ZN6S927C43v86Ev();
+extern void _ZN6S927C43v87Ev();
+extern void _ZN6S927C43v88Ev();
+extern void _ZN6S927C43v89Ev();
+extern void _ZN6S927C43v90Ev();
+extern void _ZN6S927C43v91Ev();
+extern void _ZN6S927C43v92Ev();
+extern void _ZN6S927C43v93Ev();
+extern void _ZN6S927C43v94Ev();
+extern void _ZN6S927C43v95Ev();
+extern void _ZN6S927C43v96Ev();
+extern void _ZN6S927C43v97Ev();
+extern void _ZN6S927C43v98Ev();
+extern void _ZN6S927C43v99Ev();
+extern void _ZN6S927C44v100Ev();
+extern void _ZN6S927C44v101Ev();
+extern void _ZN6S927C44v102Ev();
+extern void _ZN6S927C44v103Ev();
+extern void _ZN6S927C44v104Ev();
+extern void _ZN6S927C44v105Ev();
+extern void _ZN6S927C44v106Ev();
+extern void _ZN6S927C44v107Ev();
+extern void _ZN6S927C44v108Ev();
+extern void _ZN6S927C44v109Ev();
+extern void _ZN6S927C44v110Ev();
+extern void _ZN6S927C44v111Ev();
+extern void _ZN6S927C44v112Ev();
+extern void _ZN6S927C44v113Ev();
+extern void _ZN6S927C44v114Ev();
+extern void _ZN6S927C44v115Ev();
+extern void _ZN6S927C44v116Ev();
+extern void _ZN6S927C44v117Ev();
+extern void _ZN6S927C44v118Ev();
+extern void _ZN6S927C44v119Ev();
+extern void _ZN6S927C44v120Ev();
+extern void _ZN6S927C44v121Ev();
+extern void _ZN6S927C44v122Ev();
+extern void _ZN6S927C44v123Ev();
+extern void _ZN6S927C44v124Ev();
+extern void _ZN6S927C44v125Ev();
+extern void _ZN6S927C44v126Ev();
+extern void _ZN6S927C44v127Ev();
+extern void _ZN6S927C44v128Ev();
+extern void _ZN6S927C44v129Ev();
+extern void _ZN6S927C44v130Ev();
+extern void _ZN6S927C44v131Ev();
+extern void _ZN6S927C44v132Ev();
+extern void _ZN6S927C44v133Ev();
+extern void _ZN6S927C44v134Ev();
+extern void _ZN6S927C44v135Ev();
+extern void _ZN6S927C44v136Ev();
+extern void _ZN6S927C44v137Ev();
+extern void _ZN6S927C44v138Ev();
+extern void _ZN6S927C44v139Ev();
+extern void _ZN6S927C44v140Ev();
+extern void _ZN6S927C44v141Ev();
+extern void _ZN6S927C44v142Ev();
+extern void _ZN6S927C44v143Ev();
+extern void _ZN6S927C44v144Ev();
+extern void _ZN6S927C44v145Ev();
+extern void _ZN6S927C44v146Ev();
+extern void _ZN6S927C44v147Ev();
+extern void _ZN6S927C44v148Ev();
+extern void _ZN6S927C44v149Ev();
+extern void _ZN6S927C44v150Ev();
+extern void _ZN6S927C44v151Ev();
+extern void _ZN6S927C44v152Ev();
+extern void _ZN6S927C44v153Ev();
+extern void _ZN6S927C44v154Ev();
+extern void _ZN6S927C44v155Ev();
+extern void _ZN6S927C34v156Ev();
+extern void _ZN6S927C34v157Ev();
+extern void _ZN6S927C34v158Ev();
+extern void _ZN6S927C34v159Ev();
+extern void _ZN6S927C34v160Ev();
+extern void _ZN6S927C34v161Ev();
+extern void _ZN6S927C34v162Ev();
+extern void _ZN6S927C34v163Ev();
+extern void _ZN6S927C34v164Ev();
+extern void _ZN6S927C34v165Ev();
+extern void _ZN6S927C34v166Ev();
+extern void _ZN6S927C34v167Ev();
+extern void _ZN6S927C34v168Ev();
+extern void _ZN6S927C34v169Ev();
+extern void _ZN6S927C34v170Ev();
+extern void _ZN6S927C34v171Ev();
+extern void _ZN6S927C34v172Ev();
+extern void _ZN6S927C34v173Ev();
+extern void _ZN6S927C34v174Ev();
+extern void _ZN6S927C34v175Ev();
+extern void _ZN6S927C34v176Ev();
+extern void _ZN6S927C34v177Ev();
+extern void _ZN6S927C34v178Ev();
+extern void _ZN6S927C34v179Ev();
+extern void _ZN6S927C34v180Ev();
+extern void _ZN6S927C34v181Ev();
+extern void _ZN6S927C34v182Ev();
+extern void _ZN6S927C34v183Ev();
+extern void _ZN6S927C34v184Ev();
+extern void _ZN6S927C34v185Ev();
+extern void _ZN6S927C34v186Ev();
+extern void _ZN6S927C34v187Ev();
+extern void _ZN6S927C34v188Ev();
+extern void _ZN6S927C34v189Ev();
+extern void _ZN6S927C34v190Ev();
+extern void _ZN6S927C34v191Ev();
+extern void _ZN6S927C34v192Ev();
+extern void _ZN6S927C34v193Ev();
+extern void _ZN6S927C34v194Ev();
+extern void _ZN6S927C34v195Ev();
+extern void _ZN6S927C34v196Ev();
+extern void _ZN6S927C34v197Ev();
+extern void _ZN6S927C34v198Ev();
+extern void _ZN6S927C34v199Ev();
+extern void _ZN6S927C34v200Ev();
+extern void _ZN6S927C34v201Ev();
+extern void _ZN6S927C34v202Ev();
+extern void _ZN6S927C34v203Ev();
+extern void _ZN6S927C34v204Ev();
+extern void _ZN6S927C34v205Ev();
+extern void _ZN6S927C34v206Ev();
+extern void _ZN6S927C34v207Ev();
+extern void _ZN6S927C34v208Ev();
+extern void _ZN6S927C34v209Ev();
+extern void _ZN6S927C34v210Ev();
+extern void _ZN6S927C34v211Ev();
+extern void _ZN6S927C34v212Ev();
+extern void _ZN6S927C34v213Ev();
+extern void _ZN6S927C34v214Ev();
+extern void _ZN6S927C34v215Ev();
+extern void _ZN6S927C34v216Ev();
+extern void _ZN6S927C34v217Ev();
+extern void _ZN6S927C34v218Ev();
+extern void _ZN6S927C34v219Ev();
+extern void _ZN6S927C34v220Ev();
+extern void _ZN6S927C34v221Ev();
+extern void _ZN6S927C34v222Ev();
+extern void _ZN6S927C34v223Ev();
+extern void _ZN6S927C34v224Ev();
+extern void _ZN6S927C34v225Ev();
+extern void _ZN6S927C34v226Ev();
+extern void _ZN6S927C34v227Ev();
+extern void _ZN6S927C34v228Ev();
+extern void _ZN6S927C34v229Ev();
+extern void _ZN6S927C34v230Ev();
+extern void _ZN6S927C34v231Ev();
+extern void _ZN6S927C34v232Ev();
+extern void _ZN6S927C34v233Ev();
+extern void _ZN6S927C34v234Ev();
+extern void _ZN6S927C34v235Ev();
+extern void _ZN6S927C34v236Ev();
+extern void _ZN6S927C34v237Ev();
+extern void _ZN6S927C34v238Ev();
+extern void _ZN6S927C34v239Ev();
+extern void _ZN6S927C34v240Ev();
+extern void _ZN6S927C34v241Ev();
+extern void _ZN6S927C24v242Ev();
+extern void _ZN6S927C24v243Ev();
+extern void _ZN6S927C24v244Ev();
+extern void _ZN6S927C24v245Ev();
+extern void _ZN6S927C24v246Ev();
+extern void _ZN6S927C24v247Ev();
+extern void _ZN6S927C24v248Ev();
+extern void _ZN6S927C24v249Ev();
+extern void _ZN6S927C24v250Ev();
+static  VTBL_ENTRY vtc_S927C2[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S927C2[0]),
+  (VTBL_ENTRY)&_ZN6S927C2D1Ev,
+  (VTBL_ENTRY)&_ZN6S927C2D0Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v55Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v56Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v57Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v58Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v59Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v60Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v61Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v62Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v63Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v64Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v65Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v77Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v78Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v79Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v80Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v81Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v82Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v83Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v84Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v85Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v86Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v87Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v88Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v89Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v90Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v91Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v92Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v93Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v94Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v95Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v96Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v97Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v98Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v99Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v100Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v101Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v102Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v103Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v104Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v105Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v106Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v107Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v108Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v109Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v110Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v111Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v112Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v113Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v114Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v115Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v116Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v117Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v118Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v119Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v120Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v121Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v122Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v123Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v124Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v125Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v126Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v127Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v128Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v129Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v130Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v131Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v132Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v133Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v134Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v135Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v136Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v137Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v138Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v139Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v140Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v141Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v142Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v143Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v144Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v145Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v146Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v147Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v148Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v149Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v150Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v151Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v152Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v153Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v154Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v155Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v156Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v157Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v158Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v159Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v160Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v161Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v162Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v163Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v164Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v165Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v166Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v167Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v168Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v169Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v170Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v171Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v172Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v173Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v174Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v175Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v176Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v177Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v178Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v179Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v180Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v181Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v182Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v183Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v184Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v185Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v186Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v187Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v188Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v189Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v190Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v191Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v192Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v193Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v194Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v195Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v196Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v197Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v198Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v199Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v200Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v201Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v202Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v203Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v204Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v205Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v206Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v207Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v208Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v209Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v210Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v211Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v212Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v213Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v214Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v215Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v216Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v217Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v218Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v219Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v220Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v221Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v222Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v223Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v224Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v225Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v226Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v227Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v228Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v229Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v230Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v231Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v232Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v233Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v234Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v235Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v236Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v237Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v238Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v239Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v240Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v241Ev,
+  (VTBL_ENTRY)&_ZN6S927C24v242Ev,
+  (VTBL_ENTRY)&_ZN6S927C24v243Ev,
+  (VTBL_ENTRY)&_ZN6S927C24v244Ev,
+  (VTBL_ENTRY)&_ZN6S927C24v245Ev,
+  (VTBL_ENTRY)&_ZN6S927C24v246Ev,
+  (VTBL_ENTRY)&_ZN6S927C24v247Ev,
+  (VTBL_ENTRY)&_ZN6S927C24v248Ev,
+  (VTBL_ENTRY)&_ZN6S927C24v249Ev,
+  (VTBL_ENTRY)&_ZN6S927C24v250Ev,
+};
+extern VTBL_ENTRY _ZTI6S927C2[];
+extern  VTBL_ENTRY _ZTV6S927C2[];
+Class_Descriptor cd_S927C2 = {  "S927C2", // class name
+  bases_S927C2, 3,
+  &(vtc_S927C2[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(1344,1072), // object size
+  NSPAIRA(_ZTI6S927C2),ABISELECT(24,12), //typeinfo_var
+  NSPAIRA(_ZTV6S927C2),200, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  3, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S927C50  {
+  virtual  ~S927C50(); // _ZN7S927C50D1Ev
+  virtual void  v251(); // _ZN7S927C504v251Ev
+  virtual void  v252(); // _ZN7S927C504v252Ev
+  virtual void  v253(); // _ZN7S927C504v253Ev
+  virtual void  v254(); // _ZN7S927C504v254Ev
+  S927C50(); // tgen
+};
+//SIG(-1 S927C50) C1{ vd v1 v2 v3 v4}
+
+
+ S927C50 ::~S927C50(){ note_dtor("S927C50", this);}
+void  S927C50 ::v251(){vfunc_called(this, "_ZN7S927C504v251Ev");}
+void  S927C50 ::v252(){vfunc_called(this, "_ZN7S927C504v252Ev");}
+void  S927C50 ::v253(){vfunc_called(this, "_ZN7S927C504v253Ev");}
+void  S927C50 ::v254(){vfunc_called(this, "_ZN7S927C504v254Ev");}
+S927C50 ::S927C50(){ note_ctor("S927C50", this);} // tgen
+
+static void Test_S927C50()
+{
+  extern Class_Descriptor cd_S927C50;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[2];
+    init_test(&cd_S927C50, buf);
+    S927C50 *dp, &lv = *(dp=new (buf) S927C50());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(8,4), "sizeof(S927C50)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S927C50)");
+    test_class_info(&lv, &cd_S927C50);
+    dp->~S927C50();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS927C50(Test_S927C50, "S927C50", ABISELECT(8,4));
+
+#else // __cplusplus
+
+extern void _ZN7S927C50C1Ev();
+extern void _ZN7S927C50D1Ev();
+Name_Map name_map_S927C50[] = {
+  NSPAIR(_ZN7S927C50C1Ev),
+  NSPAIR(_ZN7S927C50D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI7S927C50[];
+extern void _ZN7S927C50D1Ev();
+extern void _ZN7S927C50D0Ev();
+extern void _ZN7S927C504v251Ev();
+extern void _ZN7S927C504v252Ev();
+extern void _ZN7S927C504v253Ev();
+extern void _ZN7S927C504v254Ev();
+static  VTBL_ENTRY vtc_S927C50[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI7S927C50[0]),
+  (VTBL_ENTRY)&_ZN7S927C50D1Ev,
+  (VTBL_ENTRY)&_ZN7S927C50D0Ev,
+  (VTBL_ENTRY)&_ZN7S927C504v251Ev,
+  (VTBL_ENTRY)&_ZN7S927C504v252Ev,
+  (VTBL_ENTRY)&_ZN7S927C504v253Ev,
+  (VTBL_ENTRY)&_ZN7S927C504v254Ev,
+};
+extern VTBL_ENTRY _ZTI7S927C50[];
+extern  VTBL_ENTRY _ZTV7S927C50[];
+Class_Descriptor cd_S927C50 = {  "S927C50", // class name
+  0,0,//no base classes
+  &(vtc_S927C50[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(8,4), // object size
+  NSPAIRA(_ZTI7S927C50),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV7S927C50),8, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  0, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S927C51  {
+  virtual  ~S927C51(); // _ZN7S927C51D1Ev
+  virtual void  v255(); // _ZN7S927C514v255Ev
+  virtual void  v256(); // _ZN7S927C514v256Ev
+  virtual void  v257(); // _ZN7S927C514v257Ev
+  virtual void  v258(); // _ZN7S927C514v258Ev
+  virtual void  v259(); // _ZN7S927C514v259Ev
+  virtual void  v260(); // _ZN7S927C514v260Ev
+  S927C51(); // tgen
+};
+//SIG(-1 S927C51) C1{ vd v1 v2 v3 v4 v5 v6}
+
+
+ S927C51 ::~S927C51(){ note_dtor("S927C51", this);}
+void  S927C51 ::v255(){vfunc_called(this, "_ZN7S927C514v255Ev");}
+void  S927C51 ::v256(){vfunc_called(this, "_ZN7S927C514v256Ev");}
+void  S927C51 ::v257(){vfunc_called(this, "_ZN7S927C514v257Ev");}
+void  S927C51 ::v258(){vfunc_called(this, "_ZN7S927C514v258Ev");}
+void  S927C51 ::v259(){vfunc_called(this, "_ZN7S927C514v259Ev");}
+void  S927C51 ::v260(){vfunc_called(this, "_ZN7S927C514v260Ev");}
+S927C51 ::S927C51(){ note_ctor("S927C51", this);} // tgen
+
+static void Test_S927C51()
+{
+  extern Class_Descriptor cd_S927C51;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[2];
+    init_test(&cd_S927C51, buf);
+    S927C51 *dp, &lv = *(dp=new (buf) S927C51());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(8,4), "sizeof(S927C51)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S927C51)");
+    test_class_info(&lv, &cd_S927C51);
+    dp->~S927C51();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS927C51(Test_S927C51, "S927C51", ABISELECT(8,4));
+
+#else // __cplusplus
+
+extern void _ZN7S927C51C1Ev();
+extern void _ZN7S927C51D1Ev();
+Name_Map name_map_S927C51[] = {
+  NSPAIR(_ZN7S927C51C1Ev),
+  NSPAIR(_ZN7S927C51D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI7S927C51[];
+extern void _ZN7S927C51D1Ev();
+extern void _ZN7S927C51D0Ev();
+extern void _ZN7S927C514v255Ev();
+extern void _ZN7S927C514v256Ev();
+extern void _ZN7S927C514v257Ev();
+extern void _ZN7S927C514v258Ev();
+extern void _ZN7S927C514v259Ev();
+extern void _ZN7S927C514v260Ev();
+static  VTBL_ENTRY vtc_S927C51[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI7S927C51[0]),
+  (VTBL_ENTRY)&_ZN7S927C51D1Ev,
+  (VTBL_ENTRY)&_ZN7S927C51D0Ev,
+  (VTBL_ENTRY)&_ZN7S927C514v255Ev,
+  (VTBL_ENTRY)&_ZN7S927C514v256Ev,
+  (VTBL_ENTRY)&_ZN7S927C514v257Ev,
+  (VTBL_ENTRY)&_ZN7S927C514v258Ev,
+  (VTBL_ENTRY)&_ZN7S927C514v259Ev,
+  (VTBL_ENTRY)&_ZN7S927C514v260Ev,
+};
+extern VTBL_ENTRY _ZTI7S927C51[];
+extern  VTBL_ENTRY _ZTV7S927C51[];
+Class_Descriptor cd_S927C51 = {  "S927C51", // class name
+  0,0,//no base classes
+  &(vtc_S927C51[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(8,4), // object size
+  NSPAIRA(_ZTI7S927C51),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV7S927C51),10, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  0, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S927C52  {
+  virtual  ~S927C52(); // _ZN7S927C52D1Ev
+  virtual void  v261(); // _ZN7S927C524v261Ev
+  virtual void  v262(); // _ZN7S927C524v262Ev
+  virtual void  v263(); // _ZN7S927C524v263Ev
+  virtual void  v264(); // _ZN7S927C524v264Ev
+  virtual void  v265(); // _ZN7S927C524v265Ev
+  virtual void  v266(); // _ZN7S927C524v266Ev
+  virtual void  v267(); // _ZN7S927C524v267Ev
+  virtual void  v268(); // _ZN7S927C524v268Ev
+  S927C52(); // tgen
+};
+//SIG(-1 S927C52) C1{ vd v1 v2 v3 v4 v5 v6 v7 v8}
+
+
+ S927C52 ::~S927C52(){ note_dtor("S927C52", this);}
+void  S927C52 ::v261(){vfunc_called(this, "_ZN7S927C524v261Ev");}
+void  S927C52 ::v262(){vfunc_called(this, "_ZN7S927C524v262Ev");}
+void  S927C52 ::v263(){vfunc_called(this, "_ZN7S927C524v263Ev");}
+void  S927C52 ::v264(){vfunc_called(this, "_ZN7S927C524v264Ev");}
+void  S927C52 ::v265(){vfunc_called(this, "_ZN7S927C524v265Ev");}
+void  S927C52 ::v266(){vfunc_called(this, "_ZN7S927C524v266Ev");}
+void  S927C52 ::v267(){vfunc_called(this, "_ZN7S927C524v267Ev");}
+void  S927C52 ::v268(){vfunc_called(this, "_ZN7S927C524v268Ev");}
+S927C52 ::S927C52(){ note_ctor("S927C52", this);} // tgen
+
+static void Test_S927C52()
+{
+  extern Class_Descriptor cd_S927C52;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[2];
+    init_test(&cd_S927C52, buf);
+    S927C52 *dp, &lv = *(dp=new (buf) S927C52());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(8,4), "sizeof(S927C52)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S927C52)");
+    test_class_info(&lv, &cd_S927C52);
+    dp->~S927C52();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS927C52(Test_S927C52, "S927C52", ABISELECT(8,4));
+
+#else // __cplusplus
+
+extern void _ZN7S927C52C1Ev();
+extern void _ZN7S927C52D1Ev();
+Name_Map name_map_S927C52[] = {
+  NSPAIR(_ZN7S927C52C1Ev),
+  NSPAIR(_ZN7S927C52D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI7S927C52[];
+extern void _ZN7S927C52D1Ev();
+extern void _ZN7S927C52D0Ev();
+extern void _ZN7S927C524v261Ev();
+extern void _ZN7S927C524v262Ev();
+extern void _ZN7S927C524v263Ev();
+extern void _ZN7S927C524v264Ev();
+extern void _ZN7S927C524v265Ev();
+extern void _ZN7S927C524v266Ev();
+extern void _ZN7S927C524v267Ev();
+extern void _ZN7S927C524v268Ev();
+static  VTBL_ENTRY vtc_S927C52[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI7S927C52[0]),
+  (VTBL_ENTRY)&_ZN7S927C52D1Ev,
+  (VTBL_ENTRY)&_ZN7S927C52D0Ev,
+  (VTBL_ENTRY)&_ZN7S927C524v261Ev,
+  (VTBL_ENTRY)&_ZN7S927C524v262Ev,
+  (VTBL_ENTRY)&_ZN7S927C524v263Ev,
+  (VTBL_ENTRY)&_ZN7S927C524v264Ev,
+  (VTBL_ENTRY)&_ZN7S927C524v265Ev,
+  (VTBL_ENTRY)&_ZN7S927C524v266Ev,
+  (VTBL_ENTRY)&_ZN7S927C524v267Ev,
+  (VTBL_ENTRY)&_ZN7S927C524v268Ev,
+};
+extern VTBL_ENTRY _ZTI7S927C52[];
+extern  VTBL_ENTRY _ZTV7S927C52[];
+Class_Descriptor cd_S927C52 = {  "S927C52", // class name
+  0,0,//no base classes
+  &(vtc_S927C52[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(8,4), // object size
+  NSPAIRA(_ZTI7S927C52),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV7S927C52),12, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  0, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S927C53  {
+  void *s927c53f0;
+  int s927c53f1[2];
+  ::S938C48 s927c53f2;
+  void  mf927c53(); // _ZN7S927C538mf927c53Ev
+};
+//SIG(-1 S927C53) C1{ m Fp Fi[2] FC2{ m}}
+
+
+void  S927C53 ::mf927c53(){}
+
+static void Test_S927C53()
+{
+  {
+    init_simple_test("S927C53");
+    S927C53 lv;
+    check2(sizeof(lv), ABISELECT(24,16), "sizeof(S927C53)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S927C53)");
+    check_field_offset(lv, s927c53f0, 0, "S927C53.s927c53f0");
+    check_field_offset(lv, s927c53f1, ABISELECT(8,4), "S927C53.s927c53f1");
+    check_field_offset(lv, s927c53f2, ABISELECT(16,12), "S927C53.s927c53f2");
+  }
+}
+static Arrange_To_Call_Me vS927C53(Test_S927C53, "S927C53", ABISELECT(24,16));
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S927C56_nt  {
+  long s927c56f0[4];
+};
+//SIG(-1 S927C56_nt) C1{ Fl[4]}
+
+
+
+//skip512 S927C56_nt
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S927C55_nt  {
+  ::S927C56_nt s927c55f0;
+  void  mf927c55(); // _ZN10S927C55_nt8mf927c55Ev
+};
+//SIG(-1 S927C55_nt) C1{ m FC2{ Fl[4]}}
+
+
+void  S927C55_nt ::mf927c55(){}
+
+//skip512 S927C55_nt
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S927C57_nt  {
+  void *s927c57f0;
+  void  mf927c57(); // _ZN10S927C57_nt8mf927c57Ev
+};
+//SIG(-1 S927C57_nt) C1{ m Fp}
+
+
+void  S927C57_nt ::mf927c57(){}
+
+//skip512 S927C57_nt
+
+#else // __cplusplus
+
+Class_Descriptor cd_S927C57_nt = {  "S927C57_nt", // class name
+  0,0,//no base classes
+  0, // no vftv
+  0, //no vtt
+  ABISELECT(8,4), // object size
+  {0,0},0, // typeinfo_var
+  {0,0},0, // virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  0, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S927C66  {
+  ::S938C56 s927c66f0;
+  char s927c66f1;
+  void  mf927c66(); // _ZN7S927C668mf927c66Ev
+};
+//SIG(-1 S927C66) C1{ m FC2{ m FC3{ m Fp Fi FC4{ m}} FC3} Fc}
+
+
+void  S927C66 ::mf927c66(){}
+
+static void Test_S927C66()
+{
+  {
+    init_simple_test("S927C66");
+    S927C66 lv;
+    check2(sizeof(lv), ABISELECT(40,28), "sizeof(S927C66)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S927C66)");
+    check_field_offset(lv, s927c66f0, 0, "S927C66.s927c66f0");
+    check_field_offset(lv, s927c66f1, ABISELECT(32,24), "S927C66.s927c66f1");
+  }
+}
+static Arrange_To_Call_Me vS927C66(Test_S927C66, "S927C66", ABISELECT(40,28));
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S927C69  {
+  void *s927c69f0;
+  int s927c69f1[2];
+  ::S938C39 s927c69f2;
+  void  mf927c69(); // _ZN7S927C698mf927c69Ev
+};
+//SIG(-1 S927C69) C1{ m Fp Fi[2] FC2{ m}}
+
+
+void  S927C69 ::mf927c69(){}
+
+static void Test_S927C69()
+{
+  {
+    init_simple_test("S927C69");
+    S927C69 lv;
+    check2(sizeof(lv), ABISELECT(24,16), "sizeof(S927C69)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S927C69)");
+    check_field_offset(lv, s927c69f0, 0, "S927C69.s927c69f0");
+    check_field_offset(lv, s927c69f1, ABISELECT(8,4), "S927C69.s927c69f1");
+    check_field_offset(lv, s927c69f2, ABISELECT(16,12), "S927C69.s927c69f2");
+  }
+}
+static Arrange_To_Call_Me vS927C69(Test_S927C69, "S927C69", ABISELECT(24,16));
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S927C78  {
+  ::S938C21_nt s927c78f0;
+  int s927c78f1;
+  char s927c78f2;
+  ::S938C21_nt s927c78f3;
+  void  mf927c78(); // _ZN7S927C788mf927c78Ev
+  virtual  ~S927C78(); // _ZN7S927C78D1Ev
+  S927C78(); // tgen
+};
+//SIG(-1 S927C78) C1{ vd m FC2{ m Fp Fi FC3{ m}} Fi Fc FC2}
+
+
+void  S927C78 ::mf927c78(){}
+ S927C78 ::~S927C78(){ note_dtor("S927C78", this);}
+S927C78 ::S927C78(){ note_ctor("S927C78", this);} // tgen
+
+static void Test_S927C78()
+{
+  extern Class_Descriptor cd_S927C78;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(7,10)];
+    init_test(&cd_S927C78, buf);
+    S927C78 *dp, &lv = *(dp=new (buf) S927C78());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(48,36), "sizeof(S927C78)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S927C78)");
+    check_field_offset(lv, s927c78f0, ABISELECT(8,4), "S927C78.s927c78f0");
+    check_field_offset(lv, s927c78f1, ABISELECT(24,16), "S927C78.s927c78f1");
+    check_field_offset(lv, s927c78f2, ABISELECT(28,20), "S927C78.s927c78f2");
+    check_field_offset(lv, s927c78f3, ABISELECT(32,24), "S927C78.s927c78f3");
+    test_class_info(&lv, &cd_S927C78);
+    dp->~S927C78();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS927C78(Test_S927C78, "S927C78", ABISELECT(48,36));
+
+#else // __cplusplus
+
+extern void _ZN7S927C78C1Ev();
+extern void _ZN7S927C78D1Ev();
+Name_Map name_map_S927C78[] = {
+  NSPAIR(_ZN7S927C78C1Ev),
+  NSPAIR(_ZN7S927C78D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI7S927C78[];
+extern void _ZN7S927C78D1Ev();
+extern void _ZN7S927C78D0Ev();
+static  VTBL_ENTRY vtc_S927C78[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI7S927C78[0]),
+  (VTBL_ENTRY)&_ZN7S927C78D1Ev,
+  (VTBL_ENTRY)&_ZN7S927C78D0Ev,
+};
+extern VTBL_ENTRY _ZTI7S927C78[];
+extern  VTBL_ENTRY _ZTV7S927C78[];
+Class_Descriptor cd_S927C78 = {  "S927C78", // class name
+  0,0,//no base classes
+  &(vtc_S927C78[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(48,36), // object size
+  NSPAIRA(_ZTI7S927C78),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV7S927C78),4, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S927C79_nt  {
+  void *s927c79f0;
+  int s927c79f1;
+  ::S938C29 s927c79f2;
+  ::S938C25 s927c79f3;
+  void  mf927c79(); // _ZN10S927C79_nt8mf927c79Ev
+};
+//SIG(-1 S927C79_nt) C1{ m Fp Fi FC2{ m} FC3{ m}}
+
+
+void  S927C79_nt ::mf927c79(){}
+
+//skip512 S927C79_nt
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S927C82_nt  {
+  char s927c82f0[2];
+  void  mf927c82(); // _ZN10S927C82_nt8mf927c82Ev
+};
+//SIG(-1 S927C82_nt) C1{ m Fc[2]}
+
+
+void  S927C82_nt ::mf927c82(){}
+
+//skip512 S927C82_nt
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S927C1  : S927C2 , S927C50 , S927C51 , S927C52 {
+  void *s927c1f0[7];
+  ::S927C53 s927c1f1;
+  ::S927C55_nt s927c1f2;
+  ::S927C57_nt s927c1f3;
+  void *s927c1f4;
+  int s927c1f5[2];
+  char s927c1f6[3];
+  ::S938C54 s927c1f7;
+  ::S938C56 s927c1f8;
+  char s927c1f9;
+  ::S938C40_nt s927c1f10;
+  int s927c1f11[3];
+  char s927c1f12;
+  int s927c1f13[3];
+  ::S938C60 s927c1f14;
+  char s927c1f15;
+  int s927c1f16[2];
+  ::S938C60 s927c1f17;
+  ::S938C64 s927c1f18;
+  ::S938C63 s927c1f19;
+  ::S927C53 s927c1f20;
+  char s927c1f21;
+  ::S938C40_nt s927c1f22;
+  ::S938C40_nt s927c1f23[8];
+  ::S927C66 s927c1f24;
+  char s927c1f25[2];
+  int s927c1f26;
+  void *s927c1f27;
+  ::S938C60 s927c1f28;
+  int s927c1f29;
+  char s927c1f30[2];
+  int s927c1f31[3];
+  ::S927C53 s927c1f32;
+  int s927c1f33;
+  ::S927C69 s927c1f34;
+  ::S927C69 s927c1f35;
+  char s927c1f36[2];
+  void *s927c1f37[2];
+  char s927c1f38;
+  ::S938C40_nt s927c1f39;
+  char s927c1f40;
+  ::S938C62_nt s927c1f41;
+  ::S938C62_nt s927c1f42;
+  __tsi64 s927c1f43;
+  void *s927c1f44[2];
+  ::S927C57_nt s927c1f45;
+  ::S927C57_nt s927c1f46;
+  ::S927C57_nt s927c1f47;
+  ::S927C57_nt s927c1f48;
+  void *s927c1f49;
+  int s927c1f50;
+  void *s927c1f51[2];
+  ::S938C23 s927c1f52;
+  ::S938C7_nt s927c1f53;
+  ::S938C7_nt s927c1f54;
+  ::S938C7_nt s927c1f55;
+  ::S938C40_nt s927c1f56;
+  ::S927C78 s927c1f57;
+  ::S927C79_nt s927c1f58;
+  ::S927C82_nt s927c1f59;
+  int s927c1f60;
+  virtual  ~S927C1(); // _ZN6S927C1D1Ev
+  virtual void  v1(); // _ZN6S927C12v1Ev
+  virtual void  v2(); // _ZN6S927C12v2Ev
+  virtual void  v3(); // _ZN6S927C12v3Ev
+  virtual void  v4(); // _ZN6S927C12v4Ev
+  virtual void  v5(); // _ZN6S927C12v5Ev
+  virtual void  v6(); // _ZN6S927C12v6Ev
+  virtual void  v7(); // _ZN6S927C12v7Ev
+  virtual void  v8(); // _ZN6S927C12v8Ev
+  virtual void  v9(); // _ZN6S927C12v9Ev
+  virtual void  v10(); // _ZN6S927C13v10Ev
+  virtual void  v11(); // _ZN6S927C13v11Ev
+  virtual void  v12(); // _ZN6S927C13v12Ev
+  virtual void  v13(); // _ZN6S927C13v13Ev
+  virtual void  v14(); // _ZN6S927C13v14Ev
+  virtual void  v15(); // _ZN6S927C13v15Ev
+  virtual void  v16(); // _ZN6S927C13v16Ev
+  virtual void  v17(); // _ZN6S927C13v17Ev
+  virtual void  v18(); // _ZN6S927C13v18Ev
+  virtual void  v19(); // _ZN6S927C13v19Ev
+  virtual void  v20(); // _ZN6S927C13v20Ev
+  virtual void  v21(); // _ZN6S927C13v21Ev
+  virtual void  v22(); // _ZN6S927C13v22Ev
+  virtual void  v23(); // _ZN6S927C13v23Ev
+  virtual void  v24(); // _ZN6S927C13v24Ev
+  virtual void  v25(); // _ZN6S927C13v25Ev
+  virtual void  v26(); // _ZN6S927C13v26Ev
+  virtual void  v27(); // _ZN6S927C13v27Ev
+  virtual void  v28(); // _ZN6S927C13v28Ev
+  virtual void  v29(); // _ZN6S927C13v29Ev
+  virtual void  v30(); // _ZN6S927C13v30Ev
+  virtual void  v31(); // _ZN6S927C13v31Ev
+  virtual void  v32(); // _ZN6S927C13v32Ev
+  virtual void  v33(); // _ZN6S927C13v33Ev
+  virtual void  v34(); // _ZN6S927C13v34Ev
+  virtual void  v35(); // _ZN6S927C13v35Ev
+  virtual void  v36(); // _ZN6S927C13v36Ev
+  virtual void  v37(); // _ZN6S927C13v37Ev
+  virtual void  v38(); // _ZN6S927C13v38Ev
+  virtual void  v39(); // _ZN6S927C13v39Ev
+  virtual void  v40(); // _ZN6S927C13v40Ev
+  virtual void  v41(); // _ZN6S927C13v41Ev
+  virtual void  v42(); // _ZN6S927C13v42Ev
+  virtual void  v43(); // _ZN6S927C13v43Ev
+  virtual void  v44(); // _ZN6S927C13v44Ev
+  virtual void  v45(); // _ZN6S927C13v45Ev
+  virtual void  v46(); // _ZN6S927C13v46Ev
+  virtual void  v47(); // _ZN6S927C13v47Ev
+  virtual void  v48(); // _ZN6S927C13v48Ev
+  virtual void  v49(); // _ZN6S927C13v49Ev
+  virtual void  v50(); // _ZN6S927C13v50Ev
+  virtual void  v51(); // _ZN6S927C13v51Ev
+  virtual void  v52(); // _ZN6S927C13v52Ev
+  virtual void  v53(); // _ZN6S927C13v53Ev
+  virtual void  v54(); // _ZN6S927C13v54Ev
+  S927C1(); // tgen
+};
+//SIG(1 S927C1) C1{ BC2{ BC3{ BC4{ BC5{ vd v55 v56 v57 v58 v59 v60 v61 v62 v63 v64 v65 v66 v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 Fp Fi FC6{ BC7{ m Fp Fi FC8{ m} FC9{ m}}} FC10{ BC11{ m Fp Fi FC12{ m} FC13{ m}}} Fi} vd v78 v79 v80 v81 v82 v83 v84 v85 v86 v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 v102 v103 v104 v105 v106 v107 v108 v109 v110 v111 v112 v113 v114 v115 v116 v117 v118 v119 v120 v121 v122 v123 v124 v125 v126 v127 v128 v129 v130 v131 v132 v133 v134 v135 v136 v137 v138 v139 v140 v141 v142 v143 v144 v145 v146 v147 v148 v149 v150 v151 v152 v153 v154 v155 FC14{ m FC15{ m FL[2]}} FC14 FC14 FC16{ m FC15} FC17{ m FC16} FC18{ m FC16 FC16 FC16 FC16} FC18 FC14 FC14 FC14 Fp FC17 FC19{ m FC20{ m Fp Fi FC21{ m}} Fi} Fi Fp[7] FC22{ m Fp Fi FC9 FC23{ m}} Fi:8 Fi:8 Fi:16 Fi:16 Fi:8 Fi:8 Fi:8 Fi:32 Fi:8 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 F!
 c:1 Fc:1 
 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fi[7] Fp[6] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 FC24{ BC25{ m Fp Fi FC26{ m} FC26}} Fp[4] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:16 Fi:16 Fi:16 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:8 Fi:8 Fi:8 Fp[7] FC27{ m Fp Fi[2] FC8} Fp[2] Fi:16 Fi:16 FC28{ BC7} FC29{ m Fp Fi[2] FC13} FC30{ m Fp Fi[2] FC23} Fp[2] Fi:24 Fi:8 Fp} vd v156 v157 v158 v159 v160 v161 v162 v163 v164 v165 v166 v167 v168 v169 v170 v171 v172 v173 v174 v175 v176 v177 v178 v179 v180 v181 v182 v183 v184 v185 v186 v187 v188 v189 v190 v191 v192 v193 v194 v195 v196 v197 v198 v199 v200 v201 v202 v203 v204 v205 v206 v207 v208 v209 v210 v211 v212 v213 v214 v215 v216 v217 v218 v219 v220 v221 v222 v223 v224 v225 v226 v227 v228 v229 v230 v231 v232 v233 v234 v235 v236 v237 v238 v239 v240 v241 Fi[2] FC31{ m FC32{ m FL}} Fp[4] FC14 FC14 FC14 FC31 FC14 FC31 FC31 FC14 FC14 FC14 FC31 FC14 FC14 Fi[7] FC17 FC31 FC31 FC31 Fp Fi Fp[4] Fc[!
 2] FC11 F
 i[8] Fc[6] Fi Fc Fi[2] Fc[2]} vd v242 v243 v244 v245 v246 v247 v248 v249 v250 FC28 FC30 Fc[2] FC31 FC31 FC14} BC33{ vd v251 v252 v253 v254} BC34{ vd v255 v256 v257 v258 v259 v260} BC35{ vd v261 v262 v263 v264 v265 v266 v267 v268} vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53 v54 Fp[7] FC36{ m Fp Fi[2] FC9} FC37{ m FC38{ Fl[4]}} FC39{ m Fp} Fp Fi[2] Fc[3] FC40{ vd m FC41{ Fi Fp[7] Fs Fc[10]} Fp Fc[2] FC42{ m FC20 FC20}} FC42 Fc FC31 Fi[3] Fc Fi[3] FC14 Fc Fi[2] FC14 FC43{ FC42 FC42 FC44{ m Fs[6] Fi[2] Fc Fs} FC44 FC42} FC45{ m Fp Fi[5] FC42 FC42 FC42 Fp} FC36 Fc FC31 FC31[8] FC46{ m FC42 Fc} Fc[2] Fi Fp FC14 Fi Fc[2] Fi[3] FC36 Fi FC47{ m Fp Fi[2] FC8} FC47 Fc[2] Fp[2] Fc FC31 Fc FC48{ m Fp[2]} FC48 FL Fp[2] FC39 FC39 FC39 FC39 Fp Fi Fp[2] FC49{ m Fp Fi FC21 FC12} FC25 FC25 FC25 FC31 FC50{ vd m FC20 Fi Fc FC20} FC51{ !
 m Fp Fi F
 C13 FC12} FC52{ m Fc[2]} Fi}
+
+
+ S927C1 ::~S927C1(){ note_dtor("S927C1", this);}
+void  S927C1 ::v1(){vfunc_called(this, "_ZN6S927C12v1Ev");}
+void  S927C1 ::v2(){vfunc_called(this, "_ZN6S927C12v2Ev");}
+void  S927C1 ::v3(){vfunc_called(this, "_ZN6S927C12v3Ev");}
+void  S927C1 ::v4(){vfunc_called(this, "_ZN6S927C12v4Ev");}
+void  S927C1 ::v5(){vfunc_called(this, "_ZN6S927C12v5Ev");}
+void  S927C1 ::v6(){vfunc_called(this, "_ZN6S927C12v6Ev");}
+void  S927C1 ::v7(){vfunc_called(this, "_ZN6S927C12v7Ev");}
+void  S927C1 ::v8(){vfunc_called(this, "_ZN6S927C12v8Ev");}
+void  S927C1 ::v9(){vfunc_called(this, "_ZN6S927C12v9Ev");}
+void  S927C1 ::v10(){vfunc_called(this, "_ZN6S927C13v10Ev");}
+void  S927C1 ::v11(){vfunc_called(this, "_ZN6S927C13v11Ev");}
+void  S927C1 ::v12(){vfunc_called(this, "_ZN6S927C13v12Ev");}
+void  S927C1 ::v13(){vfunc_called(this, "_ZN6S927C13v13Ev");}
+void  S927C1 ::v14(){vfunc_called(this, "_ZN6S927C13v14Ev");}
+void  S927C1 ::v15(){vfunc_called(this, "_ZN6S927C13v15Ev");}
+void  S927C1 ::v16(){vfunc_called(this, "_ZN6S927C13v16Ev");}
+void  S927C1 ::v17(){vfunc_called(this, "_ZN6S927C13v17Ev");}
+void  S927C1 ::v18(){vfunc_called(this, "_ZN6S927C13v18Ev");}
+void  S927C1 ::v19(){vfunc_called(this, "_ZN6S927C13v19Ev");}
+void  S927C1 ::v20(){vfunc_called(this, "_ZN6S927C13v20Ev");}
+void  S927C1 ::v21(){vfunc_called(this, "_ZN6S927C13v21Ev");}
+void  S927C1 ::v22(){vfunc_called(this, "_ZN6S927C13v22Ev");}
+void  S927C1 ::v23(){vfunc_called(this, "_ZN6S927C13v23Ev");}
+void  S927C1 ::v24(){vfunc_called(this, "_ZN6S927C13v24Ev");}
+void  S927C1 ::v25(){vfunc_called(this, "_ZN6S927C13v25Ev");}
+void  S927C1 ::v26(){vfunc_called(this, "_ZN6S927C13v26Ev");}
+void  S927C1 ::v27(){vfunc_called(this, "_ZN6S927C13v27Ev");}
+void  S927C1 ::v28(){vfunc_called(this, "_ZN6S927C13v28Ev");}
+void  S927C1 ::v29(){vfunc_called(this, "_ZN6S927C13v29Ev");}
+void  S927C1 ::v30(){vfunc_called(this, "_ZN6S927C13v30Ev");}
+void  S927C1 ::v31(){vfunc_called(this, "_ZN6S927C13v31Ev");}
+void  S927C1 ::v32(){vfunc_called(this, "_ZN6S927C13v32Ev");}
+void  S927C1 ::v33(){vfunc_called(this, "_ZN6S927C13v33Ev");}
+void  S927C1 ::v34(){vfunc_called(this, "_ZN6S927C13v34Ev");}
+void  S927C1 ::v35(){vfunc_called(this, "_ZN6S927C13v35Ev");}
+void  S927C1 ::v36(){vfunc_called(this, "_ZN6S927C13v36Ev");}
+void  S927C1 ::v37(){vfunc_called(this, "_ZN6S927C13v37Ev");}
+void  S927C1 ::v38(){vfunc_called(this, "_ZN6S927C13v38Ev");}
+void  S927C1 ::v39(){vfunc_called(this, "_ZN6S927C13v39Ev");}
+void  S927C1 ::v40(){vfunc_called(this, "_ZN6S927C13v40Ev");}
+void  S927C1 ::v41(){vfunc_called(this, "_ZN6S927C13v41Ev");}
+void  S927C1 ::v42(){vfunc_called(this, "_ZN6S927C13v42Ev");}
+void  S927C1 ::v43(){vfunc_called(this, "_ZN6S927C13v43Ev");}
+void  S927C1 ::v44(){vfunc_called(this, "_ZN6S927C13v44Ev");}
+void  S927C1 ::v45(){vfunc_called(this, "_ZN6S927C13v45Ev");}
+void  S927C1 ::v46(){vfunc_called(this, "_ZN6S927C13v46Ev");}
+void  S927C1 ::v47(){vfunc_called(this, "_ZN6S927C13v47Ev");}
+void  S927C1 ::v48(){vfunc_called(this, "_ZN6S927C13v48Ev");}
+void  S927C1 ::v49(){vfunc_called(this, "_ZN6S927C13v49Ev");}
+void  S927C1 ::v50(){vfunc_called(this, "_ZN6S927C13v50Ev");}
+void  S927C1 ::v51(){vfunc_called(this, "_ZN6S927C13v51Ev");}
+void  S927C1 ::v52(){vfunc_called(this, "_ZN6S927C13v52Ev");}
+void  S927C1 ::v53(){vfunc_called(this, "_ZN6S927C13v53Ev");}
+void  S927C1 ::v54(){vfunc_called(this, "_ZN6S927C13v54Ev");}
+S927C1 ::S927C1(){ note_ctor("S927C1", this);} // tgen
+
+static void Test_S927C1()
+{
+  extern Class_Descriptor cd_S927C1;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(332,500)];
+    init_test(&cd_S927C1, buf);
+    S927C1 *dp, &lv = *(dp=new (buf) S927C1());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(2648,1996), "sizeof(S927C1)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S927C1)");
+    check_base_class_offset(lv, (S927C5*)(S927C4*)(S927C3*)(S927C2*), 0, "S927C1");
+    check_base_class_offset(lv, (S927C4*)(S927C3*)(S927C2*), 0, "S927C1");
+    check_base_class_offset(lv, (S927C3*)(S927C2*), 0, "S927C1");
+    check_base_class_offset(lv, (S927C2*), 0, "S927C1");
+    check_base_class_offset(lv, (S927C50*), ABISELECT(1344,1072), "S927C1");
+    check_base_class_offset(lv, (S927C51*), ABISELECT(1352,1076), "S927C1");
+    check_base_class_offset(lv, (S927C52*), ABISELECT(1360,1080), "S927C1");
+    check_field_offset(lv, s927c1f0, ABISELECT(1368,1084), "S927C1.s927c1f0");
+    check_field_offset(lv, s927c1f1, ABISELECT(1424,1112), "S927C1.s927c1f1");
+    check_field_offset(lv, s927c1f2, ABISELECT(1448,1128), "S927C1.s927c1f2");
+    check_field_offset(lv, s927c1f3, ABISELECT(1480,1144), "S927C1.s927c1f3");
+    check_field_offset(lv, s927c1f4, ABISELECT(1488,1148), "S927C1.s927c1f4");
+    check_field_offset(lv, s927c1f5, ABISELECT(1496,1152), "S927C1.s927c1f5");
+    check_field_offset(lv, s927c1f6, ABISELECT(1504,1160), "S927C1.s927c1f6");
+    check_field_offset(lv, s927c1f7, ABISELECT(1512,1164), "S927C1.s927c1f7");
+    check_field_offset(lv, s927c1f8, ABISELECT(1648,1244), "S927C1.s927c1f8");
+    check_field_offset(lv, s927c1f9, ABISELECT(1680,1268), "S927C1.s927c1f9");
+    check_field_offset(lv, s927c1f10, ABISELECT(1688,1272), "S927C1.s927c1f10");
+    check_field_offset(lv, s927c1f11, ABISELECT(1696,1280), "S927C1.s927c1f11");
+    check_field_offset(lv, s927c1f12, ABISELECT(1708,1292), "S927C1.s927c1f12");
+    check_field_offset(lv, s927c1f13, ABISELECT(1712,1296), "S927C1.s927c1f13");
+    check_field_offset(lv, s927c1f14, ABISELECT(1728,1308), "S927C1.s927c1f14");
+    check_field_offset(lv, s927c1f15, ABISELECT(1744,1324), "S927C1.s927c1f15");
+    check_field_offset(lv, s927c1f16, ABISELECT(1748,1328), "S927C1.s927c1f16");
+    check_field_offset(lv, s927c1f17, ABISELECT(1760,1336), "S927C1.s927c1f17");
+    check_field_offset(lv, s927c1f18, ABISELECT(1776,1352), "S927C1.s927c1f18");
+    check_field_offset(lv, s927c1f19, ABISELECT(1920,1472), "S927C1.s927c1f19");
+    check_field_offset(lv, s927c1f20, ABISELECT(2056,1572), "S927C1.s927c1f20");
+    check_field_offset(lv, s927c1f21, ABISELECT(2080,1588), "S927C1.s927c1f21");
+    check_field_offset(lv, s927c1f22, ABISELECT(2088,1592), "S927C1.s927c1f22");
+    check_field_offset(lv, s927c1f23, ABISELECT(2096,1600), "S927C1.s927c1f23");
+    check_field_offset(lv, s927c1f24, ABISELECT(2160,1664), "S927C1.s927c1f24");
+    check_field_offset(lv, s927c1f25, ABISELECT(2200,1692), "S927C1.s927c1f25");
+    check_field_offset(lv, s927c1f26, ABISELECT(2204,1696), "S927C1.s927c1f26");
+    check_field_offset(lv, s927c1f27, ABISELECT(2208,1700), "S927C1.s927c1f27");
+    check_field_offset(lv, s927c1f28, ABISELECT(2216,1704), "S927C1.s927c1f28");
+    check_field_offset(lv, s927c1f29, ABISELECT(2232,1720), "S927C1.s927c1f29");
+    check_field_offset(lv, s927c1f30, ABISELECT(2236,1724), "S927C1.s927c1f30");
+    check_field_offset(lv, s927c1f31, ABISELECT(2240,1728), "S927C1.s927c1f31");
+    check_field_offset(lv, s927c1f32, ABISELECT(2256,1740), "S927C1.s927c1f32");
+    check_field_offset(lv, s927c1f33, ABISELECT(2280,1756), "S927C1.s927c1f33");
+    check_field_offset(lv, s927c1f34, ABISELECT(2288,1760), "S927C1.s927c1f34");
+    check_field_offset(lv, s927c1f35, ABISELECT(2312,1776), "S927C1.s927c1f35");
+    check_field_offset(lv, s927c1f36, ABISELECT(2336,1792), "S927C1.s927c1f36");
+    check_field_offset(lv, s927c1f37, ABISELECT(2344,1796), "S927C1.s927c1f37");
+    check_field_offset(lv, s927c1f38, ABISELECT(2360,1804), "S927C1.s927c1f38");
+    check_field_offset(lv, s927c1f39, ABISELECT(2368,1808), "S927C1.s927c1f39");
+    check_field_offset(lv, s927c1f40, ABISELECT(2376,1816), "S927C1.s927c1f40");
+    check_field_offset(lv, s927c1f41, ABISELECT(2384,1820), "S927C1.s927c1f41");
+    check_field_offset(lv, s927c1f42, ABISELECT(2400,1828), "S927C1.s927c1f42");
+    check_field_offset(lv, s927c1f43, ABISELECT(2416,1836), "S927C1.s927c1f43");
+    check_field_offset(lv, s927c1f44, ABISELECT(2424,1844), "S927C1.s927c1f44");
+    check_field_offset(lv, s927c1f45, ABISELECT(2440,1852), "S927C1.s927c1f45");
+    check_field_offset(lv, s927c1f46, ABISELECT(2448,1856), "S927C1.s927c1f46");
+    check_field_offset(lv, s927c1f47, ABISELECT(2456,1860), "S927C1.s927c1f47");
+    check_field_offset(lv, s927c1f48, ABISELECT(2464,1864), "S927C1.s927c1f48");
+    check_field_offset(lv, s927c1f49, ABISELECT(2472,1868), "S927C1.s927c1f49");
+    check_field_offset(lv, s927c1f50, ABISELECT(2480,1872), "S927C1.s927c1f50");
+    check_field_offset(lv, s927c1f51, ABISELECT(2488,1876), "S927C1.s927c1f51");
+    check_field_offset(lv, s927c1f52, ABISELECT(2504,1884), "S927C1.s927c1f52");
+    check_field_offset(lv, s927c1f53, ABISELECT(2520,1896), "S927C1.s927c1f53");
+    check_field_offset(lv, s927c1f54, ABISELECT(2536,1908), "S927C1.s927c1f54");
+    check_field_offset(lv, s927c1f55, ABISELECT(2552,1920), "S927C1.s927c1f55");
+    check_field_offset(lv, s927c1f56, ABISELECT(2568,1932), "S927C1.s927c1f56");
+    check_field_offset(lv, s927c1f57, ABISELECT(2576,1940), "S927C1.s927c1f57");
+    check_field_offset(lv, s927c1f58, ABISELECT(2624,1976), "S927C1.s927c1f58");
+    check_field_offset(lv, s927c1f59, ABISELECT(2640,1988), "S927C1.s927c1f59");
+    check_field_offset(lv, s927c1f60, ABISELECT(2644,1992), "S927C1.s927c1f60");
+    test_class_info(&lv, &cd_S927C1);
+    dp->~S927C1();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS927C1(Test_S927C1, "S927C1", ABISELECT(2648,1996));
+
+#else // __cplusplus
+
+extern void _ZN6S927C1C1Ev();
+extern void _ZN6S927C1D1Ev();
+Name_Map name_map_S927C1[] = {
+  NSPAIR(_ZN6S927C1C1Ev),
+  NSPAIR(_ZN6S927C1D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S927C5;
+extern VTBL_ENTRY _ZTI6S927C5[];
+extern  VTBL_ENTRY _ZTV6S927C5[];
+extern Class_Descriptor cd_S927C4;
+extern VTBL_ENTRY _ZTI6S927C4[];
+extern  VTBL_ENTRY _ZTV6S927C4[];
+extern Class_Descriptor cd_S927C3;
+extern VTBL_ENTRY _ZTI6S927C3[];
+extern  VTBL_ENTRY _ZTV6S927C3[];
+extern Class_Descriptor cd_S927C2;
+extern VTBL_ENTRY _ZTI6S927C2[];
+extern  VTBL_ENTRY _ZTV6S927C2[];
+extern Class_Descriptor cd_S927C50;
+extern VTBL_ENTRY _ZTI7S927C50[];
+extern  VTBL_ENTRY _ZTV7S927C50[];
+extern Class_Descriptor cd_S927C51;
+extern VTBL_ENTRY _ZTI7S927C51[];
+extern  VTBL_ENTRY _ZTV7S927C51[];
+extern Class_Descriptor cd_S927C52;
+extern VTBL_ENTRY _ZTI7S927C52[];
+extern  VTBL_ENTRY _ZTV7S927C52[];
+static Base_Class bases_S927C1[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S927C5,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    1, //immediately_derived
+  0, 0},
+  {&cd_S927C4,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    2, //init_seq
+    2, //immediately_derived
+  0, 0},
+  {&cd_S927C3,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    3, //init_seq
+    3, //immediately_derived
+  0, 0},
+  {&cd_S927C2,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    4, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {&cd_S927C50,    ABISELECT(1344,1072), //bcp->offset
+    254, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    5, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {&cd_S927C51,    ABISELECT(1352,1076), //bcp->offset
+    262, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    6, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {&cd_S927C52,    ABISELECT(1360,1080), //bcp->offset
+    272, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    7, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI6S927C1[];
+extern void _ZN6S927C1D1Ev();
+extern void _ZN6S927C1D0Ev();
+extern void _ZN6S927C53v55Ev();
+extern void _ZN6S927C53v56Ev();
+extern void _ZN6S927C53v57Ev();
+extern void _ZN6S927C53v58Ev();
+extern void _ZN6S927C53v59Ev();
+extern void _ZN6S927C53v60Ev();
+extern void _ZN6S927C53v61Ev();
+extern void _ZN6S927C53v62Ev();
+extern void _ZN6S927C53v63Ev();
+extern void _ZN6S927C53v64Ev();
+extern void _ZN6S927C53v65Ev();
+extern void _ZN6S927C53v66Ev();
+extern void _ZN6S927C53v67Ev();
+extern void _ZN6S927C53v68Ev();
+extern void _ZN6S927C53v69Ev();
+extern void _ZN6S927C53v70Ev();
+extern void _ZN6S927C53v71Ev();
+extern void _ZN6S927C53v72Ev();
+extern void _ZN6S927C53v73Ev();
+extern void _ZN6S927C53v74Ev();
+extern void _ZN6S927C53v75Ev();
+extern void _ZN6S927C53v76Ev();
+extern void _ZN6S927C53v77Ev();
+extern void _ZN6S927C43v78Ev();
+extern void _ZN6S927C43v79Ev();
+extern void _ZN6S927C43v80Ev();
+extern void _ZN6S927C43v81Ev();
+extern void _ZN6S927C43v82Ev();
+extern void _ZN6S927C43v83Ev();
+extern void _ZN6S927C43v84Ev();
+extern void _ZN6S927C43v85Ev();
+extern void _ZN6S927C43v86Ev();
+extern void _ZN6S927C43v87Ev();
+extern void _ZN6S927C43v88Ev();
+extern void _ZN6S927C43v89Ev();
+extern void _ZN6S927C43v90Ev();
+extern void _ZN6S927C43v91Ev();
+extern void _ZN6S927C43v92Ev();
+extern void _ZN6S927C43v93Ev();
+extern void _ZN6S927C43v94Ev();
+extern void _ZN6S927C43v95Ev();
+extern void _ZN6S927C43v96Ev();
+extern void _ZN6S927C43v97Ev();
+extern void _ZN6S927C43v98Ev();
+extern void _ZN6S927C43v99Ev();
+extern void _ZN6S927C44v100Ev();
+extern void _ZN6S927C44v101Ev();
+extern void _ZN6S927C44v102Ev();
+extern void _ZN6S927C44v103Ev();
+extern void _ZN6S927C44v104Ev();
+extern void _ZN6S927C44v105Ev();
+extern void _ZN6S927C44v106Ev();
+extern void _ZN6S927C44v107Ev();
+extern void _ZN6S927C44v108Ev();
+extern void _ZN6S927C44v109Ev();
+extern void _ZN6S927C44v110Ev();
+extern void _ZN6S927C44v111Ev();
+extern void _ZN6S927C44v112Ev();
+extern void _ZN6S927C44v113Ev();
+extern void _ZN6S927C44v114Ev();
+extern void _ZN6S927C44v115Ev();
+extern void _ZN6S927C44v116Ev();
+extern void _ZN6S927C44v117Ev();
+extern void _ZN6S927C44v118Ev();
+extern void _ZN6S927C44v119Ev();
+extern void _ZN6S927C44v120Ev();
+extern void _ZN6S927C44v121Ev();
+extern void _ZN6S927C44v122Ev();
+extern void _ZN6S927C44v123Ev();
+extern void _ZN6S927C44v124Ev();
+extern void _ZN6S927C44v125Ev();
+extern void _ZN6S927C44v126Ev();
+extern void _ZN6S927C44v127Ev();
+extern void _ZN6S927C44v128Ev();
+extern void _ZN6S927C44v129Ev();
+extern void _ZN6S927C44v130Ev();
+extern void _ZN6S927C44v131Ev();
+extern void _ZN6S927C44v132Ev();
+extern void _ZN6S927C44v133Ev();
+extern void _ZN6S927C44v134Ev();
+extern void _ZN6S927C44v135Ev();
+extern void _ZN6S927C44v136Ev();
+extern void _ZN6S927C44v137Ev();
+extern void _ZN6S927C44v138Ev();
+extern void _ZN6S927C44v139Ev();
+extern void _ZN6S927C44v140Ev();
+extern void _ZN6S927C44v141Ev();
+extern void _ZN6S927C44v142Ev();
+extern void _ZN6S927C44v143Ev();
+extern void _ZN6S927C44v144Ev();
+extern void _ZN6S927C44v145Ev();
+extern void _ZN6S927C44v146Ev();
+extern void _ZN6S927C44v147Ev();
+extern void _ZN6S927C44v148Ev();
+extern void _ZN6S927C44v149Ev();
+extern void _ZN6S927C44v150Ev();
+extern void _ZN6S927C44v151Ev();
+extern void _ZN6S927C44v152Ev();
+extern void _ZN6S927C44v153Ev();
+extern void _ZN6S927C44v154Ev();
+extern void _ZN6S927C44v155Ev();
+extern void _ZN6S927C34v156Ev();
+extern void _ZN6S927C34v157Ev();
+extern void _ZN6S927C34v158Ev();
+extern void _ZN6S927C34v159Ev();
+extern void _ZN6S927C34v160Ev();
+extern void _ZN6S927C34v161Ev();
+extern void _ZN6S927C34v162Ev();
+extern void _ZN6S927C34v163Ev();
+extern void _ZN6S927C34v164Ev();
+extern void _ZN6S927C34v165Ev();
+extern void _ZN6S927C34v166Ev();
+extern void _ZN6S927C34v167Ev();
+extern void _ZN6S927C34v168Ev();
+extern void _ZN6S927C34v169Ev();
+extern void _ZN6S927C34v170Ev();
+extern void _ZN6S927C34v171Ev();
+extern void _ZN6S927C34v172Ev();
+extern void _ZN6S927C34v173Ev();
+extern void _ZN6S927C34v174Ev();
+extern void _ZN6S927C34v175Ev();
+extern void _ZN6S927C34v176Ev();
+extern void _ZN6S927C34v177Ev();
+extern void _ZN6S927C34v178Ev();
+extern void _ZN6S927C34v179Ev();
+extern void _ZN6S927C34v180Ev();
+extern void _ZN6S927C34v181Ev();
+extern void _ZN6S927C34v182Ev();
+extern void _ZN6S927C34v183Ev();
+extern void _ZN6S927C34v184Ev();
+extern void _ZN6S927C34v185Ev();
+extern void _ZN6S927C34v186Ev();
+extern void _ZN6S927C34v187Ev();
+extern void _ZN6S927C34v188Ev();
+extern void _ZN6S927C34v189Ev();
+extern void _ZN6S927C34v190Ev();
+extern void _ZN6S927C34v191Ev();
+extern void _ZN6S927C34v192Ev();
+extern void _ZN6S927C34v193Ev();
+extern void _ZN6S927C34v194Ev();
+extern void _ZN6S927C34v195Ev();
+extern void _ZN6S927C34v196Ev();
+extern void _ZN6S927C34v197Ev();
+extern void _ZN6S927C34v198Ev();
+extern void _ZN6S927C34v199Ev();
+extern void _ZN6S927C34v200Ev();
+extern void _ZN6S927C34v201Ev();
+extern void _ZN6S927C34v202Ev();
+extern void _ZN6S927C34v203Ev();
+extern void _ZN6S927C34v204Ev();
+extern void _ZN6S927C34v205Ev();
+extern void _ZN6S927C34v206Ev();
+extern void _ZN6S927C34v207Ev();
+extern void _ZN6S927C34v208Ev();
+extern void _ZN6S927C34v209Ev();
+extern void _ZN6S927C34v210Ev();
+extern void _ZN6S927C34v211Ev();
+extern void _ZN6S927C34v212Ev();
+extern void _ZN6S927C34v213Ev();
+extern void _ZN6S927C34v214Ev();
+extern void _ZN6S927C34v215Ev();
+extern void _ZN6S927C34v216Ev();
+extern void _ZN6S927C34v217Ev();
+extern void _ZN6S927C34v218Ev();
+extern void _ZN6S927C34v219Ev();
+extern void _ZN6S927C34v220Ev();
+extern void _ZN6S927C34v221Ev();
+extern void _ZN6S927C34v222Ev();
+extern void _ZN6S927C34v223Ev();
+extern void _ZN6S927C34v224Ev();
+extern void _ZN6S927C34v225Ev();
+extern void _ZN6S927C34v226Ev();
+extern void _ZN6S927C34v227Ev();
+extern void _ZN6S927C34v228Ev();
+extern void _ZN6S927C34v229Ev();
+extern void _ZN6S927C34v230Ev();
+extern void _ZN6S927C34v231Ev();
+extern void _ZN6S927C34v232Ev();
+extern void _ZN6S927C34v233Ev();
+extern void _ZN6S927C34v234Ev();
+extern void _ZN6S927C34v235Ev();
+extern void _ZN6S927C34v236Ev();
+extern void _ZN6S927C34v237Ev();
+extern void _ZN6S927C34v238Ev();
+extern void _ZN6S927C34v239Ev();
+extern void _ZN6S927C34v240Ev();
+extern void _ZN6S927C34v241Ev();
+extern void _ZN6S927C24v242Ev();
+extern void _ZN6S927C24v243Ev();
+extern void _ZN6S927C24v244Ev();
+extern void _ZN6S927C24v245Ev();
+extern void _ZN6S927C24v246Ev();
+extern void _ZN6S927C24v247Ev();
+extern void _ZN6S927C24v248Ev();
+extern void _ZN6S927C24v249Ev();
+extern void _ZN6S927C24v250Ev();
+extern void _ZN6S927C12v1Ev();
+extern void _ZN6S927C12v2Ev();
+extern void _ZN6S927C12v3Ev();
+extern void _ZN6S927C12v4Ev();
+extern void _ZN6S927C12v5Ev();
+extern void _ZN6S927C12v6Ev();
+extern void _ZN6S927C12v7Ev();
+extern void _ZN6S927C12v8Ev();
+extern void _ZN6S927C12v9Ev();
+extern void _ZN6S927C13v10Ev();
+extern void _ZN6S927C13v11Ev();
+extern void _ZN6S927C13v12Ev();
+extern void _ZN6S927C13v13Ev();
+extern void _ZN6S927C13v14Ev();
+extern void _ZN6S927C13v15Ev();
+extern void _ZN6S927C13v16Ev();
+extern void _ZN6S927C13v17Ev();
+extern void _ZN6S927C13v18Ev();
+extern void _ZN6S927C13v19Ev();
+extern void _ZN6S927C13v20Ev();
+extern void _ZN6S927C13v21Ev();
+extern void _ZN6S927C13v22Ev();
+extern void _ZN6S927C13v23Ev();
+extern void _ZN6S927C13v24Ev();
+extern void _ZN6S927C13v25Ev();
+extern void _ZN6S927C13v26Ev();
+extern void _ZN6S927C13v27Ev();
+extern void _ZN6S927C13v28Ev();
+extern void _ZN6S927C13v29Ev();
+extern void _ZN6S927C13v30Ev();
+extern void _ZN6S927C13v31Ev();
+extern void _ZN6S927C13v32Ev();
+extern void _ZN6S927C13v33Ev();
+extern void _ZN6S927C13v34Ev();
+extern void _ZN6S927C13v35Ev();
+extern void _ZN6S927C13v36Ev();
+extern void _ZN6S927C13v37Ev();
+extern void _ZN6S927C13v38Ev();
+extern void _ZN6S927C13v39Ev();
+extern void _ZN6S927C13v40Ev();
+extern void _ZN6S927C13v41Ev();
+extern void _ZN6S927C13v42Ev();
+extern void _ZN6S927C13v43Ev();
+extern void _ZN6S927C13v44Ev();
+extern void _ZN6S927C13v45Ev();
+extern void _ZN6S927C13v46Ev();
+extern void _ZN6S927C13v47Ev();
+extern void _ZN6S927C13v48Ev();
+extern void _ZN6S927C13v49Ev();
+extern void _ZN6S927C13v50Ev();
+extern void _ZN6S927C13v51Ev();
+extern void _ZN6S927C13v52Ev();
+extern void _ZN6S927C13v53Ev();
+extern void _ZN6S927C13v54Ev();
+extern void ABISELECT(_ZThn1344_N6S927C1D1Ev,_ZThn1072_N6S927C1D1Ev)();
+extern void ABISELECT(_ZThn1344_N6S927C1D0Ev,_ZThn1072_N6S927C1D0Ev)();
+extern void _ZN7S927C504v251Ev();
+extern void _ZN7S927C504v252Ev();
+extern void _ZN7S927C504v253Ev();
+extern void _ZN7S927C504v254Ev();
+extern void ABISELECT(_ZThn1352_N6S927C1D1Ev,_ZThn1076_N6S927C1D1Ev)();
+extern void ABISELECT(_ZThn1352_N6S927C1D0Ev,_ZThn1076_N6S927C1D0Ev)();
+extern void _ZN7S927C514v255Ev();
+extern void _ZN7S927C514v256Ev();
+extern void _ZN7S927C514v257Ev();
+extern void _ZN7S927C514v258Ev();
+extern void _ZN7S927C514v259Ev();
+extern void _ZN7S927C514v260Ev();
+extern void ABISELECT(_ZThn1360_N6S927C1D1Ev,_ZThn1080_N6S927C1D1Ev)();
+extern void ABISELECT(_ZThn1360_N6S927C1D0Ev,_ZThn1080_N6S927C1D0Ev)();
+extern void _ZN7S927C524v261Ev();
+extern void _ZN7S927C524v262Ev();
+extern void _ZN7S927C524v263Ev();
+extern void _ZN7S927C524v264Ev();
+extern void _ZN7S927C524v265Ev();
+extern void _ZN7S927C524v266Ev();
+extern void _ZN7S927C524v267Ev();
+extern void _ZN7S927C524v268Ev();
+static  VTBL_ENTRY vtc_S927C1[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S927C1[0]),
+  (VTBL_ENTRY)&_ZN6S927C1D1Ev,
+  (VTBL_ENTRY)&_ZN6S927C1D0Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v55Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v56Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v57Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v58Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v59Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v60Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v61Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v62Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v63Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v64Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v65Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S927C53v77Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v78Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v79Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v80Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v81Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v82Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v83Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v84Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v85Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v86Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v87Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v88Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v89Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v90Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v91Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v92Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v93Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v94Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v95Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v96Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v97Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v98Ev,
+  (VTBL_ENTRY)&_ZN6S927C43v99Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v100Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v101Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v102Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v103Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v104Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v105Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v106Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v107Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v108Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v109Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v110Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v111Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v112Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v113Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v114Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v115Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v116Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v117Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v118Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v119Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v120Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v121Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v122Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v123Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v124Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v125Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v126Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v127Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v128Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v129Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v130Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v131Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v132Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v133Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v134Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v135Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v136Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v137Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v138Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v139Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v140Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v141Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v142Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v143Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v144Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v145Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v146Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v147Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v148Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v149Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v150Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v151Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v152Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v153Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v154Ev,
+  (VTBL_ENTRY)&_ZN6S927C44v155Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v156Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v157Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v158Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v159Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v160Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v161Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v162Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v163Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v164Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v165Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v166Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v167Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v168Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v169Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v170Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v171Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v172Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v173Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v174Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v175Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v176Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v177Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v178Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v179Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v180Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v181Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v182Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v183Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v184Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v185Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v186Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v187Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v188Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v189Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v190Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v191Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v192Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v193Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v194Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v195Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v196Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v197Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v198Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v199Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v200Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v201Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v202Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v203Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v204Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v205Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v206Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v207Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v208Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v209Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v210Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v211Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v212Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v213Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v214Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v215Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v216Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v217Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v218Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v219Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v220Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v221Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v222Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v223Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v224Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v225Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v226Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v227Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v228Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v229Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v230Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v231Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v232Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v233Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v234Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v235Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v236Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v237Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v238Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v239Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v240Ev,
+  (VTBL_ENTRY)&_ZN6S927C34v241Ev,
+  (VTBL_ENTRY)&_ZN6S927C24v242Ev,
+  (VTBL_ENTRY)&_ZN6S927C24v243Ev,
+  (VTBL_ENTRY)&_ZN6S927C24v244Ev,
+  (VTBL_ENTRY)&_ZN6S927C24v245Ev,
+  (VTBL_ENTRY)&_ZN6S927C24v246Ev,
+  (VTBL_ENTRY)&_ZN6S927C24v247Ev,
+  (VTBL_ENTRY)&_ZN6S927C24v248Ev,
+  (VTBL_ENTRY)&_ZN6S927C24v249Ev,
+  (VTBL_ENTRY)&_ZN6S927C24v250Ev,
+  (VTBL_ENTRY)&_ZN6S927C12v1Ev,
+  (VTBL_ENTRY)&_ZN6S927C12v2Ev,
+  (VTBL_ENTRY)&_ZN6S927C12v3Ev,
+  (VTBL_ENTRY)&_ZN6S927C12v4Ev,
+  (VTBL_ENTRY)&_ZN6S927C12v5Ev,
+  (VTBL_ENTRY)&_ZN6S927C12v6Ev,
+  (VTBL_ENTRY)&_ZN6S927C12v7Ev,
+  (VTBL_ENTRY)&_ZN6S927C12v8Ev,
+  (VTBL_ENTRY)&_ZN6S927C12v9Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v10Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v11Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v12Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v13Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v14Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v15Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v16Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v17Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v18Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v19Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v20Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v21Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v22Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v23Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v24Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v25Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v26Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v27Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v28Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v29Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v30Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v31Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v32Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v33Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v34Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v35Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v36Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v37Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v38Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v39Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v40Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v41Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v42Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v43Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v44Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v45Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v46Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v47Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v48Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v49Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v50Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v51Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v52Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v53Ev,
+  (VTBL_ENTRY)&_ZN6S927C13v54Ev,
+  ABISELECT(-1344,-1072),
+  (VTBL_ENTRY)&(_ZTI6S927C1[0]),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1344_N6S927C1D1Ev,_ZThn1072_N6S927C1D1Ev),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1344_N6S927C1D0Ev,_ZThn1072_N6S927C1D0Ev),
+  (VTBL_ENTRY)&_ZN7S927C504v251Ev,
+  (VTBL_ENTRY)&_ZN7S927C504v252Ev,
+  (VTBL_ENTRY)&_ZN7S927C504v253Ev,
+  (VTBL_ENTRY)&_ZN7S927C504v254Ev,
+  ABISELECT(-1352,-1076),
+  (VTBL_ENTRY)&(_ZTI6S927C1[0]),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1352_N6S927C1D1Ev,_ZThn1076_N6S927C1D1Ev),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1352_N6S927C1D0Ev,_ZThn1076_N6S927C1D0Ev),
+  (VTBL_ENTRY)&_ZN7S927C514v255Ev,
+  (VTBL_ENTRY)&_ZN7S927C514v256Ev,
+  (VTBL_ENTRY)&_ZN7S927C514v257Ev,
+  (VTBL_ENTRY)&_ZN7S927C514v258Ev,
+  (VTBL_ENTRY)&_ZN7S927C514v259Ev,
+  (VTBL_ENTRY)&_ZN7S927C514v260Ev,
+  ABISELECT(-1360,-1080),
+  (VTBL_ENTRY)&(_ZTI6S927C1[0]),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1360_N6S927C1D1Ev,_ZThn1080_N6S927C1D1Ev),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1360_N6S927C1D0Ev,_ZThn1080_N6S927C1D0Ev),
+  (VTBL_ENTRY)&_ZN7S927C524v261Ev,
+  (VTBL_ENTRY)&_ZN7S927C524v262Ev,
+  (VTBL_ENTRY)&_ZN7S927C524v263Ev,
+  (VTBL_ENTRY)&_ZN7S927C524v264Ev,
+  (VTBL_ENTRY)&_ZN7S927C524v265Ev,
+  (VTBL_ENTRY)&_ZN7S927C524v266Ev,
+  (VTBL_ENTRY)&_ZN7S927C524v267Ev,
+  (VTBL_ENTRY)&_ZN7S927C524v268Ev,
+};
+extern VTBL_ENTRY _ZTI6S927C1[];
+extern  VTBL_ENTRY _ZTV6S927C1[];
+Class_Descriptor cd_S927C1 = {  "S927C1", // class name
+  bases_S927C1, 7,
+  &(vtc_S927C1[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(2648,1996), // object size
+  NSPAIRA(_ZTI6S927C1),ABISELECT(88,48), //typeinfo_var
+  NSPAIRA(_ZTV6S927C1),284, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  7, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S928C5  {
+  void *s928c5f0;
+  int s928c5f1;
+  ::S938C45 s928c5f2;
+  ::S938C26 s928c5f3;
+  int s928c5f4;
+  virtual  ~S928C5(); // _ZN6S928C5D1Ev
+  virtual void  v55(); // _ZN6S928C53v55Ev
+  virtual void  v56(); // _ZN6S928C53v56Ev
+  virtual void  v57(); // _ZN6S928C53v57Ev
+  virtual void  v58(); // _ZN6S928C53v58Ev
+  virtual void  v59(); // _ZN6S928C53v59Ev
+  virtual void  v60(); // _ZN6S928C53v60Ev
+  virtual void  v61(); // _ZN6S928C53v61Ev
+  virtual void  v62(); // _ZN6S928C53v62Ev
+  virtual void  v63(); // _ZN6S928C53v63Ev
+  virtual void  v64(); // _ZN6S928C53v64Ev
+  virtual void  v65(); // _ZN6S928C53v65Ev
+  virtual void  v66(); // _ZN6S928C53v66Ev
+  virtual void  v67(); // _ZN6S928C53v67Ev
+  virtual void  v68(); // _ZN6S928C53v68Ev
+  virtual void  v69(); // _ZN6S928C53v69Ev
+  virtual void  v70(); // _ZN6S928C53v70Ev
+  virtual void  v71(); // _ZN6S928C53v71Ev
+  virtual void  v72(); // _ZN6S928C53v72Ev
+  virtual void  v73(); // _ZN6S928C53v73Ev
+  virtual void  v74(); // _ZN6S928C53v74Ev
+  virtual void  v75(); // _ZN6S928C53v75Ev
+  virtual void  v76(); // _ZN6S928C53v76Ev
+  virtual void  v77(); // _ZN6S928C53v77Ev
+  S928C5(); // tgen
+};
+//SIG(-1 S928C5) C1{ vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 Fp Fi FC2{ BC3{ m Fp Fi FC4{ m} FC5{ m}}} FC6{ BC7{ m Fp Fi FC8{ m} FC9{ m}}} Fi}
+
+
+ S928C5 ::~S928C5(){ note_dtor("S928C5", this);}
+void  S928C5 ::v55(){vfunc_called(this, "_ZN6S928C53v55Ev");}
+void  S928C5 ::v56(){vfunc_called(this, "_ZN6S928C53v56Ev");}
+void  S928C5 ::v57(){vfunc_called(this, "_ZN6S928C53v57Ev");}
+void  S928C5 ::v58(){vfunc_called(this, "_ZN6S928C53v58Ev");}
+void  S928C5 ::v59(){vfunc_called(this, "_ZN6S928C53v59Ev");}
+void  S928C5 ::v60(){vfunc_called(this, "_ZN6S928C53v60Ev");}
+void  S928C5 ::v61(){vfunc_called(this, "_ZN6S928C53v61Ev");}
+void  S928C5 ::v62(){vfunc_called(this, "_ZN6S928C53v62Ev");}
+void  S928C5 ::v63(){vfunc_called(this, "_ZN6S928C53v63Ev");}
+void  S928C5 ::v64(){vfunc_called(this, "_ZN6S928C53v64Ev");}
+void  S928C5 ::v65(){vfunc_called(this, "_ZN6S928C53v65Ev");}
+void  S928C5 ::v66(){vfunc_called(this, "_ZN6S928C53v66Ev");}
+void  S928C5 ::v67(){vfunc_called(this, "_ZN6S928C53v67Ev");}
+void  S928C5 ::v68(){vfunc_called(this, "_ZN6S928C53v68Ev");}
+void  S928C5 ::v69(){vfunc_called(this, "_ZN6S928C53v69Ev");}
+void  S928C5 ::v70(){vfunc_called(this, "_ZN6S928C53v70Ev");}
+void  S928C5 ::v71(){vfunc_called(this, "_ZN6S928C53v71Ev");}
+void  S928C5 ::v72(){vfunc_called(this, "_ZN6S928C53v72Ev");}
+void  S928C5 ::v73(){vfunc_called(this, "_ZN6S928C53v73Ev");}
+void  S928C5 ::v74(){vfunc_called(this, "_ZN6S928C53v74Ev");}
+void  S928C5 ::v75(){vfunc_called(this, "_ZN6S928C53v75Ev");}
+void  S928C5 ::v76(){vfunc_called(this, "_ZN6S928C53v76Ev");}
+void  S928C5 ::v77(){vfunc_called(this, "_ZN6S928C53v77Ev");}
+S928C5 ::S928C5(){ note_ctor("S928C5", this);} // tgen
+
+static void Test_S928C5()
+{
+  extern Class_Descriptor cd_S928C5;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(9,11)];
+    init_test(&cd_S928C5, buf);
+    S928C5 *dp, &lv = *(dp=new (buf) S928C5());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(64,40), "sizeof(S928C5)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S928C5)");
+    check_field_offset(lv, s928c5f0, ABISELECT(8,4), "S928C5.s928c5f0");
+    check_field_offset(lv, s928c5f1, ABISELECT(16,8), "S928C5.s928c5f1");
+    check_field_offset(lv, s928c5f2, ABISELECT(24,12), "S928C5.s928c5f2");
+    check_field_offset(lv, s928c5f3, ABISELECT(40,24), "S928C5.s928c5f3");
+    check_field_offset(lv, s928c5f4, ABISELECT(56,36), "S928C5.s928c5f4");
+    test_class_info(&lv, &cd_S928C5);
+    dp->~S928C5();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS928C5(Test_S928C5, "S928C5", ABISELECT(64,40));
+
+#else // __cplusplus
+
+extern void _ZN6S928C5C1Ev();
+extern void _ZN6S928C5D1Ev();
+Name_Map name_map_S928C5[] = {
+  NSPAIR(_ZN6S928C5C1Ev),
+  NSPAIR(_ZN6S928C5D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI6S928C5[];
+extern void _ZN6S928C5D1Ev();
+extern void _ZN6S928C5D0Ev();
+extern void _ZN6S928C53v55Ev();
+extern void _ZN6S928C53v56Ev();
+extern void _ZN6S928C53v57Ev();
+extern void _ZN6S928C53v58Ev();
+extern void _ZN6S928C53v59Ev();
+extern void _ZN6S928C53v60Ev();
+extern void _ZN6S928C53v61Ev();
+extern void _ZN6S928C53v62Ev();
+extern void _ZN6S928C53v63Ev();
+extern void _ZN6S928C53v64Ev();
+extern void _ZN6S928C53v65Ev();
+extern void _ZN6S928C53v66Ev();
+extern void _ZN6S928C53v67Ev();
+extern void _ZN6S928C53v68Ev();
+extern void _ZN6S928C53v69Ev();
+extern void _ZN6S928C53v70Ev();
+extern void _ZN6S928C53v71Ev();
+extern void _ZN6S928C53v72Ev();
+extern void _ZN6S928C53v73Ev();
+extern void _ZN6S928C53v74Ev();
+extern void _ZN6S928C53v75Ev();
+extern void _ZN6S928C53v76Ev();
+extern void _ZN6S928C53v77Ev();
+static  VTBL_ENTRY vtc_S928C5[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S928C5[0]),
+  (VTBL_ENTRY)&_ZN6S928C5D1Ev,
+  (VTBL_ENTRY)&_ZN6S928C5D0Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v55Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v56Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v57Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v58Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v59Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v60Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v61Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v62Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v63Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v64Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v65Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v77Ev,
+};
+extern VTBL_ENTRY _ZTI6S928C5[];
+extern  VTBL_ENTRY _ZTV6S928C5[];
+Class_Descriptor cd_S928C5 = {  "S928C5", // class name
+  0,0,//no base classes
+  &(vtc_S928C5[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(64,40), // object size
+  NSPAIRA(_ZTI6S928C5),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV6S928C5),27, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S928C4  : S928C5 {
+  ::S938C60 s928c4f0;
+  ::S938C60 s928c4f1;
+  ::S938C60 s928c4f2;
+  ::S938C14_nt s928c4f3;
+  ::S938C18 s928c4f4;
+  ::S938C19_nt s928c4f5;
+  ::S938C19_nt s928c4f6;
+  ::S938C60 s928c4f7;
+  ::S938C60 s928c4f8;
+  ::S938C60 s928c4f9;
+  void *s928c4f10;
+  ::S938C18 s928c4f11;
+  ::S938C20_nt s928c4f12;
+  int s928c4f13;
+  void *s928c4f14[7];
+  ::S938C66 s928c4f15;
+  int s928c4f16:8;
+  int s928c4f17:8;
+  int s928c4f18:16;
+  int s928c4f19:16;
+  int s928c4f20:8;
+  int s928c4f21:8;
+  int s928c4f22:8;
+  int s928c4f23:32;
+  int s928c4f24:8;
+  int s928c4f25:4;
+  int s928c4f26:4;
+  int s928c4f27:4;
+  int s928c4f28:4;
+  int s928c4f29:4;
+  int s928c4f30:4;
+  int s928c4f31:4;
+  int s928c4f32:4;
+  int s928c4f33:4;
+  int s928c4f34:4;
+  unsigned char s928c4f35:1;
+  unsigned char s928c4f36:1;
+  unsigned char s928c4f37:1;
+  unsigned char s928c4f38:1;
+  unsigned char s928c4f39:1;
+  unsigned char s928c4f40:1;
+  unsigned char s928c4f41:1;
+  unsigned char s928c4f42:1;
+  unsigned char s928c4f43:1;
+  unsigned char s928c4f44:1;
+  unsigned char s928c4f45:1;
+  unsigned char s928c4f46:1;
+  unsigned char s928c4f47:1;
+  unsigned char s928c4f48:1;
+  unsigned char s928c4f49:1;
+  unsigned char s928c4f50:1;
+  unsigned char s928c4f51:1;
+  unsigned char s928c4f52:1;
+  unsigned char s928c4f53:1;
+  unsigned char s928c4f54:1;
+  unsigned char s928c4f55:1;
+  unsigned char s928c4f56:1;
+  unsigned char s928c4f57:1;
+  unsigned char s928c4f58:1;
+  unsigned char s928c4f59:1;
+  unsigned char s928c4f60:1;
+  unsigned char s928c4f61:1;
+  unsigned char s928c4f62:1;
+  unsigned char s928c4f63:1;
+  unsigned char s928c4f64:1;
+  unsigned char s928c4f65:1;
+  unsigned char s928c4f66:1;
+  unsigned char s928c4f67:1;
+  unsigned char s928c4f68:1;
+  unsigned char s928c4f69:1;
+  unsigned char s928c4f70:1;
+  unsigned char s928c4f71:1;
+  unsigned char s928c4f72:1;
+  unsigned char s928c4f73:1;
+  unsigned char s928c4f74:1;
+  unsigned char s928c4f75:1;
+  int s928c4f76[7];
+  void *s928c4f77[6];
+  int s928c4f78:8;
+  int s928c4f79:8;
+  int s928c4f80:8;
+  int s928c4f81:8;
+  int s928c4f82:8;
+  int s928c4f83:8;
+  ::S938C6_nt s928c4f84;
+  void *s928c4f85[4];
+  int s928c4f86:8;
+  int s928c4f87:8;
+  int s928c4f88:8;
+  int s928c4f89:8;
+  int s928c4f90:8;
+  int s928c4f91:16;
+  int s928c4f92:16;
+  int s928c4f93:16;
+  int s928c4f94:4;
+  int s928c4f95:4;
+  int s928c4f96:4;
+  int s928c4f97:4;
+  int s928c4f98:4;
+  int s928c4f99:4;
+  int s928c4f100:4;
+  int s928c4f101:4;
+  int s928c4f102:4;
+  int s928c4f103:4;
+  int s928c4f104:4;
+  int s928c4f105:4;
+  int s928c4f106:8;
+  int s928c4f107:8;
+  int s928c4f108:8;
+  void *s928c4f109[7];
+  ::S938C38 s928c4f110;
+  void *s928c4f111[2];
+  int s928c4f112:16;
+  int s928c4f113:16;
+  ::S927C32_nt s928c4f114;
+  ::S938C30_nt s928c4f115;
+  ::S927C69 s928c4f116;
+  void *s928c4f117[2];
+  int s928c4f118:24;
+  int s928c4f119:8;
+  void *s928c4f120;
+  virtual  ~S928C4(); // _ZN6S928C4D1Ev
+  virtual void  v78(); // _ZN6S928C43v78Ev
+  virtual void  v79(); // _ZN6S928C43v79Ev
+  virtual void  v80(); // _ZN6S928C43v80Ev
+  virtual void  v81(); // _ZN6S928C43v81Ev
+  virtual void  v82(); // _ZN6S928C43v82Ev
+  virtual void  v83(); // _ZN6S928C43v83Ev
+  virtual void  v84(); // _ZN6S928C43v84Ev
+  virtual void  v85(); // _ZN6S928C43v85Ev
+  virtual void  v86(); // _ZN6S928C43v86Ev
+  virtual void  v87(); // _ZN6S928C43v87Ev
+  virtual void  v88(); // _ZN6S928C43v88Ev
+  virtual void  v89(); // _ZN6S928C43v89Ev
+  virtual void  v90(); // _ZN6S928C43v90Ev
+  virtual void  v91(); // _ZN6S928C43v91Ev
+  virtual void  v92(); // _ZN6S928C43v92Ev
+  virtual void  v93(); // _ZN6S928C43v93Ev
+  virtual void  v94(); // _ZN6S928C43v94Ev
+  virtual void  v95(); // _ZN6S928C43v95Ev
+  virtual void  v96(); // _ZN6S928C43v96Ev
+  virtual void  v97(); // _ZN6S928C43v97Ev
+  virtual void  v98(); // _ZN6S928C43v98Ev
+  virtual void  v99(); // _ZN6S928C43v99Ev
+  virtual void  v100(); // _ZN6S928C44v100Ev
+  virtual void  v101(); // _ZN6S928C44v101Ev
+  virtual void  v102(); // _ZN6S928C44v102Ev
+  virtual void  v103(); // _ZN6S928C44v103Ev
+  virtual void  v104(); // _ZN6S928C44v104Ev
+  virtual void  v105(); // _ZN6S928C44v105Ev
+  virtual void  v106(); // _ZN6S928C44v106Ev
+  virtual void  v107(); // _ZN6S928C44v107Ev
+  virtual void  v108(); // _ZN6S928C44v108Ev
+  virtual void  v109(); // _ZN6S928C44v109Ev
+  virtual void  v110(); // _ZN6S928C44v110Ev
+  virtual void  v111(); // _ZN6S928C44v111Ev
+  virtual void  v112(); // _ZN6S928C44v112Ev
+  virtual void  v113(); // _ZN6S928C44v113Ev
+  virtual void  v114(); // _ZN6S928C44v114Ev
+  virtual void  v115(); // _ZN6S928C44v115Ev
+  virtual void  v116(); // _ZN6S928C44v116Ev
+  virtual void  v117(); // _ZN6S928C44v117Ev
+  virtual void  v118(); // _ZN6S928C44v118Ev
+  virtual void  v119(); // _ZN6S928C44v119Ev
+  virtual void  v120(); // _ZN6S928C44v120Ev
+  virtual void  v121(); // _ZN6S928C44v121Ev
+  virtual void  v122(); // _ZN6S928C44v122Ev
+  virtual void  v123(); // _ZN6S928C44v123Ev
+  virtual void  v124(); // _ZN6S928C44v124Ev
+  virtual void  v125(); // _ZN6S928C44v125Ev
+  virtual void  v126(); // _ZN6S928C44v126Ev
+  virtual void  v127(); // _ZN6S928C44v127Ev
+  virtual void  v128(); // _ZN6S928C44v128Ev
+  virtual void  v129(); // _ZN6S928C44v129Ev
+  virtual void  v130(); // _ZN6S928C44v130Ev
+  virtual void  v131(); // _ZN6S928C44v131Ev
+  virtual void  v132(); // _ZN6S928C44v132Ev
+  virtual void  v133(); // _ZN6S928C44v133Ev
+  virtual void  v134(); // _ZN6S928C44v134Ev
+  virtual void  v135(); // _ZN6S928C44v135Ev
+  virtual void  v136(); // _ZN6S928C44v136Ev
+  virtual void  v137(); // _ZN6S928C44v137Ev
+  virtual void  v138(); // _ZN6S928C44v138Ev
+  virtual void  v139(); // _ZN6S928C44v139Ev
+  virtual void  v140(); // _ZN6S928C44v140Ev
+  virtual void  v141(); // _ZN6S928C44v141Ev
+  virtual void  v142(); // _ZN6S928C44v142Ev
+  virtual void  v143(); // _ZN6S928C44v143Ev
+  virtual void  v144(); // _ZN6S928C44v144Ev
+  virtual void  v145(); // _ZN6S928C44v145Ev
+  virtual void  v146(); // _ZN6S928C44v146Ev
+  virtual void  v147(); // _ZN6S928C44v147Ev
+  virtual void  v148(); // _ZN6S928C44v148Ev
+  virtual void  v149(); // _ZN6S928C44v149Ev
+  virtual void  v150(); // _ZN6S928C44v150Ev
+  virtual void  v151(); // _ZN6S928C44v151Ev
+  virtual void  v152(); // _ZN6S928C44v152Ev
+  virtual void  v153(); // _ZN6S928C44v153Ev
+  virtual void  v154(); // _ZN6S928C44v154Ev
+  virtual void  v155(); // _ZN6S928C44v155Ev
+  S928C4(); // tgen
+};
+//SIG(-1 S928C4) C1{ BC2{ vd v79 v80 v81 v82 v83 v84 v85 v86 v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 Fp Fi FC3{ BC4{ m Fp Fi FC5{ m} FC6{ m}}} FC7{ BC8{ m Fp Fi FC9{ m} FC10{ m}}} Fi} vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53 v54 v55 v56 v57 v58 v59 v60 v61 v62 v63 v64 v65 v66 v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 v78 FC11{ m FC12{ m FL[2]}} FC11 FC11 FC13{ m FC12} FC14{ m FC13} FC15{ m FC13 FC13 FC13 FC13} FC15 FC11 FC11 FC11 Fp FC14 FC16{ m FC17{ m Fp Fi FC18{ m}} Fi} Fi Fp[7] FC19{ m Fp Fi FC6 FC20{ m}} Fi:8 Fi:8 Fi:16 Fi:16 Fi:8 Fi:8 Fi:8 Fi:32 Fi:8 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 F!
 c:1 Fc:1 
 Fc:1 Fc:1 Fi[7] Fp[6] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 FC21{ BC22{ m Fp Fi FC23{ m} FC23}} Fp[4] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:16 Fi:16 Fi:16 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:8 Fi:8 Fi:8 Fp[7] FC24{ m Fp Fi[2] FC5} Fp[2] Fi:16 Fi:16 FC25{ BC4} FC26{ m Fp Fi[2] FC10} FC27{ m Fp Fi[2] FC5} Fp[2] Fi:24 Fi:8 Fp}
+
+
+ S928C4 ::~S928C4(){ note_dtor("S928C4", this);}
+void  S928C4 ::v78(){vfunc_called(this, "_ZN6S928C43v78Ev");}
+void  S928C4 ::v79(){vfunc_called(this, "_ZN6S928C43v79Ev");}
+void  S928C4 ::v80(){vfunc_called(this, "_ZN6S928C43v80Ev");}
+void  S928C4 ::v81(){vfunc_called(this, "_ZN6S928C43v81Ev");}
+void  S928C4 ::v82(){vfunc_called(this, "_ZN6S928C43v82Ev");}
+void  S928C4 ::v83(){vfunc_called(this, "_ZN6S928C43v83Ev");}
+void  S928C4 ::v84(){vfunc_called(this, "_ZN6S928C43v84Ev");}
+void  S928C4 ::v85(){vfunc_called(this, "_ZN6S928C43v85Ev");}
+void  S928C4 ::v86(){vfunc_called(this, "_ZN6S928C43v86Ev");}
+void  S928C4 ::v87(){vfunc_called(this, "_ZN6S928C43v87Ev");}
+void  S928C4 ::v88(){vfunc_called(this, "_ZN6S928C43v88Ev");}
+void  S928C4 ::v89(){vfunc_called(this, "_ZN6S928C43v89Ev");}
+void  S928C4 ::v90(){vfunc_called(this, "_ZN6S928C43v90Ev");}
+void  S928C4 ::v91(){vfunc_called(this, "_ZN6S928C43v91Ev");}
+void  S928C4 ::v92(){vfunc_called(this, "_ZN6S928C43v92Ev");}
+void  S928C4 ::v93(){vfunc_called(this, "_ZN6S928C43v93Ev");}
+void  S928C4 ::v94(){vfunc_called(this, "_ZN6S928C43v94Ev");}
+void  S928C4 ::v95(){vfunc_called(this, "_ZN6S928C43v95Ev");}
+void  S928C4 ::v96(){vfunc_called(this, "_ZN6S928C43v96Ev");}
+void  S928C4 ::v97(){vfunc_called(this, "_ZN6S928C43v97Ev");}
+void  S928C4 ::v98(){vfunc_called(this, "_ZN6S928C43v98Ev");}
+void  S928C4 ::v99(){vfunc_called(this, "_ZN6S928C43v99Ev");}
+void  S928C4 ::v100(){vfunc_called(this, "_ZN6S928C44v100Ev");}
+void  S928C4 ::v101(){vfunc_called(this, "_ZN6S928C44v101Ev");}
+void  S928C4 ::v102(){vfunc_called(this, "_ZN6S928C44v102Ev");}
+void  S928C4 ::v103(){vfunc_called(this, "_ZN6S928C44v103Ev");}
+void  S928C4 ::v104(){vfunc_called(this, "_ZN6S928C44v104Ev");}
+void  S928C4 ::v105(){vfunc_called(this, "_ZN6S928C44v105Ev");}
+void  S928C4 ::v106(){vfunc_called(this, "_ZN6S928C44v106Ev");}
+void  S928C4 ::v107(){vfunc_called(this, "_ZN6S928C44v107Ev");}
+void  S928C4 ::v108(){vfunc_called(this, "_ZN6S928C44v108Ev");}
+void  S928C4 ::v109(){vfunc_called(this, "_ZN6S928C44v109Ev");}
+void  S928C4 ::v110(){vfunc_called(this, "_ZN6S928C44v110Ev");}
+void  S928C4 ::v111(){vfunc_called(this, "_ZN6S928C44v111Ev");}
+void  S928C4 ::v112(){vfunc_called(this, "_ZN6S928C44v112Ev");}
+void  S928C4 ::v113(){vfunc_called(this, "_ZN6S928C44v113Ev");}
+void  S928C4 ::v114(){vfunc_called(this, "_ZN6S928C44v114Ev");}
+void  S928C4 ::v115(){vfunc_called(this, "_ZN6S928C44v115Ev");}
+void  S928C4 ::v116(){vfunc_called(this, "_ZN6S928C44v116Ev");}
+void  S928C4 ::v117(){vfunc_called(this, "_ZN6S928C44v117Ev");}
+void  S928C4 ::v118(){vfunc_called(this, "_ZN6S928C44v118Ev");}
+void  S928C4 ::v119(){vfunc_called(this, "_ZN6S928C44v119Ev");}
+void  S928C4 ::v120(){vfunc_called(this, "_ZN6S928C44v120Ev");}
+void  S928C4 ::v121(){vfunc_called(this, "_ZN6S928C44v121Ev");}
+void  S928C4 ::v122(){vfunc_called(this, "_ZN6S928C44v122Ev");}
+void  S928C4 ::v123(){vfunc_called(this, "_ZN6S928C44v123Ev");}
+void  S928C4 ::v124(){vfunc_called(this, "_ZN6S928C44v124Ev");}
+void  S928C4 ::v125(){vfunc_called(this, "_ZN6S928C44v125Ev");}
+void  S928C4 ::v126(){vfunc_called(this, "_ZN6S928C44v126Ev");}
+void  S928C4 ::v127(){vfunc_called(this, "_ZN6S928C44v127Ev");}
+void  S928C4 ::v128(){vfunc_called(this, "_ZN6S928C44v128Ev");}
+void  S928C4 ::v129(){vfunc_called(this, "_ZN6S928C44v129Ev");}
+void  S928C4 ::v130(){vfunc_called(this, "_ZN6S928C44v130Ev");}
+void  S928C4 ::v131(){vfunc_called(this, "_ZN6S928C44v131Ev");}
+void  S928C4 ::v132(){vfunc_called(this, "_ZN6S928C44v132Ev");}
+void  S928C4 ::v133(){vfunc_called(this, "_ZN6S928C44v133Ev");}
+void  S928C4 ::v134(){vfunc_called(this, "_ZN6S928C44v134Ev");}
+void  S928C4 ::v135(){vfunc_called(this, "_ZN6S928C44v135Ev");}
+void  S928C4 ::v136(){vfunc_called(this, "_ZN6S928C44v136Ev");}
+void  S928C4 ::v137(){vfunc_called(this, "_ZN6S928C44v137Ev");}
+void  S928C4 ::v138(){vfunc_called(this, "_ZN6S928C44v138Ev");}
+void  S928C4 ::v139(){vfunc_called(this, "_ZN6S928C44v139Ev");}
+void  S928C4 ::v140(){vfunc_called(this, "_ZN6S928C44v140Ev");}
+void  S928C4 ::v141(){vfunc_called(this, "_ZN6S928C44v141Ev");}
+void  S928C4 ::v142(){vfunc_called(this, "_ZN6S928C44v142Ev");}
+void  S928C4 ::v143(){vfunc_called(this, "_ZN6S928C44v143Ev");}
+void  S928C4 ::v144(){vfunc_called(this, "_ZN6S928C44v144Ev");}
+void  S928C4 ::v145(){vfunc_called(this, "_ZN6S928C44v145Ev");}
+void  S928C4 ::v146(){vfunc_called(this, "_ZN6S928C44v146Ev");}
+void  S928C4 ::v147(){vfunc_called(this, "_ZN6S928C44v147Ev");}
+void  S928C4 ::v148(){vfunc_called(this, "_ZN6S928C44v148Ev");}
+void  S928C4 ::v149(){vfunc_called(this, "_ZN6S928C44v149Ev");}
+void  S928C4 ::v150(){vfunc_called(this, "_ZN6S928C44v150Ev");}
+void  S928C4 ::v151(){vfunc_called(this, "_ZN6S928C44v151Ev");}
+void  S928C4 ::v152(){vfunc_called(this, "_ZN6S928C44v152Ev");}
+void  S928C4 ::v153(){vfunc_called(this, "_ZN6S928C44v153Ev");}
+void  S928C4 ::v154(){vfunc_called(this, "_ZN6S928C44v154Ev");}
+void  S928C4 ::v155(){vfunc_called(this, "_ZN6S928C44v155Ev");}
+S928C4 ::S928C4(){ note_ctor("S928C4", this);} // tgen
+
+static void Test_S928C4()
+{
+  extern Class_Descriptor cd_S928C4;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(105,159)];
+    init_test(&cd_S928C4, buf);
+    S928C4 *dp, &lv = *(dp=new (buf) S928C4());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(832,632), "sizeof(S928C4)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S928C4)");
+    check_base_class_offset(lv, (S928C5*), 0, "S928C4");
+    check_field_offset(lv, s928c4f0, ABISELECT(64,40), "S928C4.s928c4f0");
+    check_field_offset(lv, s928c4f1, ABISELECT(80,56), "S928C4.s928c4f1");
+    check_field_offset(lv, s928c4f2, ABISELECT(96,72), "S928C4.s928c4f2");
+    check_field_offset(lv, s928c4f3, ABISELECT(112,88), "S928C4.s928c4f3");
+    check_field_offset(lv, s928c4f4, ABISELECT(128,104), "S928C4.s928c4f4");
+    check_field_offset(lv, s928c4f5, ABISELECT(144,120), "S928C4.s928c4f5");
+    check_field_offset(lv, s928c4f6, ABISELECT(208,184), "S928C4.s928c4f6");
+    check_field_offset(lv, s928c4f7, ABISELECT(272,248), "S928C4.s928c4f7");
+    check_field_offset(lv, s928c4f8, ABISELECT(288,264), "S928C4.s928c4f8");
+    check_field_offset(lv, s928c4f9, ABISELECT(304,280), "S928C4.s928c4f9");
+    check_field_offset(lv, s928c4f10, ABISELECT(320,296), "S928C4.s928c4f10");
+    check_field_offset(lv, s928c4f11, ABISELECT(328,300), "S928C4.s928c4f11");
+    check_field_offset(lv, s928c4f12, ABISELECT(344,316), "S928C4.s928c4f12");
+    check_field_offset(lv, s928c4f13, ABISELECT(368,332), "S928C4.s928c4f13");
+    check_field_offset(lv, s928c4f14, ABISELECT(376,336), "S928C4.s928c4f14");
+    check_field_offset(lv, s928c4f15, ABISELECT(432,364), "S928C4.s928c4f15");
+    set_bf_and_test(lv, s928c4f16, ABISELECT(448,376), 0, 8, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f16, ABISELECT(448,376), 0, 8, hide_sll(1LL<<7), "S928C4");
+    set_bf_and_test(lv, s928c4f17, ABISELECT(449,377), 0, 8, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f17, ABISELECT(449,377), 0, 8, hide_sll(1LL<<7), "S928C4");
+    set_bf_and_test(lv, s928c4f18, ABISELECT(450,378), 0, 16, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f18, ABISELECT(450,378), 0, 16, hide_sll(1LL<<15), "S928C4");
+    set_bf_and_test(lv, s928c4f19, ABISELECT(452,380), 0, 16, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f19, ABISELECT(452,380), 0, 16, hide_sll(1LL<<15), "S928C4");
+    set_bf_and_test(lv, s928c4f20, ABISELECT(454,382), 0, 8, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f20, ABISELECT(454,382), 0, 8, hide_sll(1LL<<7), "S928C4");
+    set_bf_and_test(lv, s928c4f21, ABISELECT(455,383), 0, 8, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f21, ABISELECT(455,383), 0, 8, hide_sll(1LL<<7), "S928C4");
+    set_bf_and_test(lv, s928c4f22, ABISELECT(456,384), 0, 8, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f22, ABISELECT(456,384), 0, 8, hide_sll(1LL<<7), "S928C4");
+    set_bf_and_test(lv, s928c4f23, ABISELECT(460,388), 0, 32, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f23, ABISELECT(460,388), 0, 32, hide_sll(1LL<<31), "S928C4");
+    set_bf_and_test(lv, s928c4f24, ABISELECT(464,392), 0, 8, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f24, ABISELECT(464,392), 0, 8, hide_sll(1LL<<7), "S928C4");
+    set_bf_and_test(lv, s928c4f25, ABISELECT(465,393), 0, 4, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f25, ABISELECT(465,393), 0, 4, hide_sll(1LL<<3), "S928C4");
+    set_bf_and_test(lv, s928c4f26, ABISELECT(465,393), 4, 4, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f26, ABISELECT(465,393), 4, 4, hide_sll(1LL<<3), "S928C4");
+    set_bf_and_test(lv, s928c4f27, ABISELECT(466,394), 0, 4, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f27, ABISELECT(466,394), 0, 4, hide_sll(1LL<<3), "S928C4");
+    set_bf_and_test(lv, s928c4f28, ABISELECT(466,394), 4, 4, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f28, ABISELECT(466,394), 4, 4, hide_sll(1LL<<3), "S928C4");
+    set_bf_and_test(lv, s928c4f29, ABISELECT(467,395), 0, 4, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f29, ABISELECT(467,395), 0, 4, hide_sll(1LL<<3), "S928C4");
+    set_bf_and_test(lv, s928c4f30, ABISELECT(467,395), 4, 4, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f30, ABISELECT(467,395), 4, 4, hide_sll(1LL<<3), "S928C4");
+    set_bf_and_test(lv, s928c4f31, ABISELECT(468,396), 0, 4, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f31, ABISELECT(468,396), 0, 4, hide_sll(1LL<<3), "S928C4");
+    set_bf_and_test(lv, s928c4f32, ABISELECT(468,396), 4, 4, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f32, ABISELECT(468,396), 4, 4, hide_sll(1LL<<3), "S928C4");
+    set_bf_and_test(lv, s928c4f33, ABISELECT(469,397), 0, 4, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f33, ABISELECT(469,397), 0, 4, hide_sll(1LL<<3), "S928C4");
+    set_bf_and_test(lv, s928c4f34, ABISELECT(469,397), 4, 4, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f34, ABISELECT(469,397), 4, 4, hide_sll(1LL<<3), "S928C4");
+    set_bf_and_test(lv, s928c4f35, ABISELECT(470,398), 0, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f36, ABISELECT(470,398), 1, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f37, ABISELECT(470,398), 2, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f38, ABISELECT(470,398), 3, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f39, ABISELECT(470,398), 4, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f40, ABISELECT(470,398), 5, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f41, ABISELECT(470,398), 6, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f42, ABISELECT(470,398), 7, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f43, ABISELECT(471,399), 0, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f44, ABISELECT(471,399), 1, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f45, ABISELECT(471,399), 2, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f46, ABISELECT(471,399), 3, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f47, ABISELECT(471,399), 4, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f48, ABISELECT(471,399), 5, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f49, ABISELECT(471,399), 6, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f50, ABISELECT(471,399), 7, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f51, ABISELECT(472,400), 0, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f52, ABISELECT(472,400), 1, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f53, ABISELECT(472,400), 2, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f54, ABISELECT(472,400), 3, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f55, ABISELECT(472,400), 4, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f56, ABISELECT(472,400), 5, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f57, ABISELECT(472,400), 6, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f58, ABISELECT(472,400), 7, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f59, ABISELECT(473,401), 0, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f60, ABISELECT(473,401), 1, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f61, ABISELECT(473,401), 2, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f62, ABISELECT(473,401), 3, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f63, ABISELECT(473,401), 4, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f64, ABISELECT(473,401), 5, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f65, ABISELECT(473,401), 6, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f66, ABISELECT(473,401), 7, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f67, ABISELECT(474,402), 0, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f68, ABISELECT(474,402), 1, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f69, ABISELECT(474,402), 2, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f70, ABISELECT(474,402), 3, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f71, ABISELECT(474,402), 4, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f72, ABISELECT(474,402), 5, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f73, ABISELECT(474,402), 6, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f74, ABISELECT(474,402), 7, 1, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f75, ABISELECT(475,403), 0, 1, 1, "S928C4");
+    check_field_offset(lv, s928c4f76, ABISELECT(476,404), "S928C4.s928c4f76");
+    check_field_offset(lv, s928c4f77, ABISELECT(504,432), "S928C4.s928c4f77");
+    set_bf_and_test(lv, s928c4f78, ABISELECT(552,456), 0, 8, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f78, ABISELECT(552,456), 0, 8, hide_sll(1LL<<7), "S928C4");
+    set_bf_and_test(lv, s928c4f79, ABISELECT(553,457), 0, 8, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f79, ABISELECT(553,457), 0, 8, hide_sll(1LL<<7), "S928C4");
+    set_bf_and_test(lv, s928c4f80, ABISELECT(554,458), 0, 8, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f80, ABISELECT(554,458), 0, 8, hide_sll(1LL<<7), "S928C4");
+    set_bf_and_test(lv, s928c4f81, ABISELECT(555,459), 0, 8, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f81, ABISELECT(555,459), 0, 8, hide_sll(1LL<<7), "S928C4");
+    set_bf_and_test(lv, s928c4f82, ABISELECT(556,460), 0, 8, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f82, ABISELECT(556,460), 0, 8, hide_sll(1LL<<7), "S928C4");
+    set_bf_and_test(lv, s928c4f83, ABISELECT(557,461), 0, 8, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f83, ABISELECT(557,461), 0, 8, hide_sll(1LL<<7), "S928C4");
+    check_field_offset(lv, s928c4f84, ABISELECT(560,464), "S928C4.s928c4f84");
+    check_field_offset(lv, s928c4f85, ABISELECT(576,476), "S928C4.s928c4f85");
+    set_bf_and_test(lv, s928c4f86, ABISELECT(608,492), 0, 8, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f86, ABISELECT(608,492), 0, 8, hide_sll(1LL<<7), "S928C4");
+    set_bf_and_test(lv, s928c4f87, ABISELECT(609,493), 0, 8, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f87, ABISELECT(609,493), 0, 8, hide_sll(1LL<<7), "S928C4");
+    set_bf_and_test(lv, s928c4f88, ABISELECT(610,494), 0, 8, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f88, ABISELECT(610,494), 0, 8, hide_sll(1LL<<7), "S928C4");
+    set_bf_and_test(lv, s928c4f89, ABISELECT(611,495), 0, 8, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f89, ABISELECT(611,495), 0, 8, hide_sll(1LL<<7), "S928C4");
+    set_bf_and_test(lv, s928c4f90, ABISELECT(612,496), 0, 8, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f90, ABISELECT(612,496), 0, 8, hide_sll(1LL<<7), "S928C4");
+    set_bf_and_test(lv, s928c4f91, ABISELECT(613,497), 0, 16, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f91, ABISELECT(613,497), 0, 16, hide_sll(1LL<<15), "S928C4");
+    set_bf_and_test(lv, s928c4f92, ABISELECT(616,500), 0, 16, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f92, ABISELECT(616,500), 0, 16, hide_sll(1LL<<15), "S928C4");
+    set_bf_and_test(lv, s928c4f93, ABISELECT(618,502), 0, 16, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f93, ABISELECT(618,502), 0, 16, hide_sll(1LL<<15), "S928C4");
+    set_bf_and_test(lv, s928c4f94, ABISELECT(620,504), 0, 4, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f94, ABISELECT(620,504), 0, 4, hide_sll(1LL<<3), "S928C4");
+    set_bf_and_test(lv, s928c4f95, ABISELECT(620,504), 4, 4, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f95, ABISELECT(620,504), 4, 4, hide_sll(1LL<<3), "S928C4");
+    set_bf_and_test(lv, s928c4f96, ABISELECT(621,505), 0, 4, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f96, ABISELECT(621,505), 0, 4, hide_sll(1LL<<3), "S928C4");
+    set_bf_and_test(lv, s928c4f97, ABISELECT(621,505), 4, 4, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f97, ABISELECT(621,505), 4, 4, hide_sll(1LL<<3), "S928C4");
+    set_bf_and_test(lv, s928c4f98, ABISELECT(622,506), 0, 4, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f98, ABISELECT(622,506), 0, 4, hide_sll(1LL<<3), "S928C4");
+    set_bf_and_test(lv, s928c4f99, ABISELECT(622,506), 4, 4, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f99, ABISELECT(622,506), 4, 4, hide_sll(1LL<<3), "S928C4");
+    set_bf_and_test(lv, s928c4f100, ABISELECT(623,507), 0, 4, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f100, ABISELECT(623,507), 0, 4, hide_sll(1LL<<3), "S928C4");
+    set_bf_and_test(lv, s928c4f101, ABISELECT(623,507), 4, 4, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f101, ABISELECT(623,507), 4, 4, hide_sll(1LL<<3), "S928C4");
+    set_bf_and_test(lv, s928c4f102, ABISELECT(624,508), 0, 4, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f102, ABISELECT(624,508), 0, 4, hide_sll(1LL<<3), "S928C4");
+    set_bf_and_test(lv, s928c4f103, ABISELECT(624,508), 4, 4, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f103, ABISELECT(624,508), 4, 4, hide_sll(1LL<<3), "S928C4");
+    set_bf_and_test(lv, s928c4f104, ABISELECT(625,509), 0, 4, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f104, ABISELECT(625,509), 0, 4, hide_sll(1LL<<3), "S928C4");
+    set_bf_and_test(lv, s928c4f105, ABISELECT(625,509), 4, 4, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f105, ABISELECT(625,509), 4, 4, hide_sll(1LL<<3), "S928C4");
+    set_bf_and_test(lv, s928c4f106, ABISELECT(626,510), 0, 8, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f106, ABISELECT(626,510), 0, 8, hide_sll(1LL<<7), "S928C4");
+    set_bf_and_test(lv, s928c4f107, ABISELECT(627,511), 0, 8, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f107, ABISELECT(627,511), 0, 8, hide_sll(1LL<<7), "S928C4");
+    set_bf_and_test(lv, s928c4f108, ABISELECT(628,512), 0, 8, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f108, ABISELECT(628,512), 0, 8, hide_sll(1LL<<7), "S928C4");
+    check_field_offset(lv, s928c4f109, ABISELECT(632,516), "S928C4.s928c4f109");
+    check_field_offset(lv, s928c4f110, ABISELECT(688,544), "S928C4.s928c4f110");
+    check_field_offset(lv, s928c4f111, ABISELECT(712,560), "S928C4.s928c4f111");
+    set_bf_and_test(lv, s928c4f112, ABISELECT(728,568), 0, 16, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f112, ABISELECT(728,568), 0, 16, hide_sll(1LL<<15), "S928C4");
+    set_bf_and_test(lv, s928c4f113, ABISELECT(730,570), 0, 16, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f113, ABISELECT(730,570), 0, 16, hide_sll(1LL<<15), "S928C4");
+    check_field_offset(lv, s928c4f114, ABISELECT(736,572), "S928C4.s928c4f114");
+    check_field_offset(lv, s928c4f115, ABISELECT(752,584), "S928C4.s928c4f115");
+    check_field_offset(lv, s928c4f116, ABISELECT(776,600), "S928C4.s928c4f116");
+    check_field_offset(lv, s928c4f117, ABISELECT(800,616), "S928C4.s928c4f117");
+    set_bf_and_test(lv, s928c4f118, ABISELECT(816,624), 0, 24, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f118, ABISELECT(816,624), 0, 24, hide_sll(1LL<<23), "S928C4");
+    set_bf_and_test(lv, s928c4f119, ABISELECT(819,627), 0, 8, 1, "S928C4");
+    set_bf_and_test(lv, s928c4f119, ABISELECT(819,627), 0, 8, hide_sll(1LL<<7), "S928C4");
+    check_field_offset(lv, s928c4f120, ABISELECT(824,628), "S928C4.s928c4f120");
+    test_class_info(&lv, &cd_S928C4);
+    dp->~S928C4();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS928C4(Test_S928C4, "S928C4", ABISELECT(832,632));
+
+#else // __cplusplus
+
+extern void _ZN6S928C4C1Ev();
+extern void _ZN6S928C4D1Ev();
+Name_Map name_map_S928C4[] = {
+  NSPAIR(_ZN6S928C4C1Ev),
+  NSPAIR(_ZN6S928C4D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S928C5;
+extern VTBL_ENTRY _ZTI6S928C5[];
+extern  VTBL_ENTRY _ZTV6S928C5[];
+static Base_Class bases_S928C4[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S928C5,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI6S928C4[];
+extern void _ZN6S928C4D1Ev();
+extern void _ZN6S928C4D0Ev();
+extern void _ZN6S928C53v55Ev();
+extern void _ZN6S928C53v56Ev();
+extern void _ZN6S928C53v57Ev();
+extern void _ZN6S928C53v58Ev();
+extern void _ZN6S928C53v59Ev();
+extern void _ZN6S928C53v60Ev();
+extern void _ZN6S928C53v61Ev();
+extern void _ZN6S928C53v62Ev();
+extern void _ZN6S928C53v63Ev();
+extern void _ZN6S928C53v64Ev();
+extern void _ZN6S928C53v65Ev();
+extern void _ZN6S928C53v66Ev();
+extern void _ZN6S928C53v67Ev();
+extern void _ZN6S928C53v68Ev();
+extern void _ZN6S928C53v69Ev();
+extern void _ZN6S928C53v70Ev();
+extern void _ZN6S928C53v71Ev();
+extern void _ZN6S928C53v72Ev();
+extern void _ZN6S928C53v73Ev();
+extern void _ZN6S928C53v74Ev();
+extern void _ZN6S928C53v75Ev();
+extern void _ZN6S928C53v76Ev();
+extern void _ZN6S928C53v77Ev();
+extern void _ZN6S928C43v78Ev();
+extern void _ZN6S928C43v79Ev();
+extern void _ZN6S928C43v80Ev();
+extern void _ZN6S928C43v81Ev();
+extern void _ZN6S928C43v82Ev();
+extern void _ZN6S928C43v83Ev();
+extern void _ZN6S928C43v84Ev();
+extern void _ZN6S928C43v85Ev();
+extern void _ZN6S928C43v86Ev();
+extern void _ZN6S928C43v87Ev();
+extern void _ZN6S928C43v88Ev();
+extern void _ZN6S928C43v89Ev();
+extern void _ZN6S928C43v90Ev();
+extern void _ZN6S928C43v91Ev();
+extern void _ZN6S928C43v92Ev();
+extern void _ZN6S928C43v93Ev();
+extern void _ZN6S928C43v94Ev();
+extern void _ZN6S928C43v95Ev();
+extern void _ZN6S928C43v96Ev();
+extern void _ZN6S928C43v97Ev();
+extern void _ZN6S928C43v98Ev();
+extern void _ZN6S928C43v99Ev();
+extern void _ZN6S928C44v100Ev();
+extern void _ZN6S928C44v101Ev();
+extern void _ZN6S928C44v102Ev();
+extern void _ZN6S928C44v103Ev();
+extern void _ZN6S928C44v104Ev();
+extern void _ZN6S928C44v105Ev();
+extern void _ZN6S928C44v106Ev();
+extern void _ZN6S928C44v107Ev();
+extern void _ZN6S928C44v108Ev();
+extern void _ZN6S928C44v109Ev();
+extern void _ZN6S928C44v110Ev();
+extern void _ZN6S928C44v111Ev();
+extern void _ZN6S928C44v112Ev();
+extern void _ZN6S928C44v113Ev();
+extern void _ZN6S928C44v114Ev();
+extern void _ZN6S928C44v115Ev();
+extern void _ZN6S928C44v116Ev();
+extern void _ZN6S928C44v117Ev();
+extern void _ZN6S928C44v118Ev();
+extern void _ZN6S928C44v119Ev();
+extern void _ZN6S928C44v120Ev();
+extern void _ZN6S928C44v121Ev();
+extern void _ZN6S928C44v122Ev();
+extern void _ZN6S928C44v123Ev();
+extern void _ZN6S928C44v124Ev();
+extern void _ZN6S928C44v125Ev();
+extern void _ZN6S928C44v126Ev();
+extern void _ZN6S928C44v127Ev();
+extern void _ZN6S928C44v128Ev();
+extern void _ZN6S928C44v129Ev();
+extern void _ZN6S928C44v130Ev();
+extern void _ZN6S928C44v131Ev();
+extern void _ZN6S928C44v132Ev();
+extern void _ZN6S928C44v133Ev();
+extern void _ZN6S928C44v134Ev();
+extern void _ZN6S928C44v135Ev();
+extern void _ZN6S928C44v136Ev();
+extern void _ZN6S928C44v137Ev();
+extern void _ZN6S928C44v138Ev();
+extern void _ZN6S928C44v139Ev();
+extern void _ZN6S928C44v140Ev();
+extern void _ZN6S928C44v141Ev();
+extern void _ZN6S928C44v142Ev();
+extern void _ZN6S928C44v143Ev();
+extern void _ZN6S928C44v144Ev();
+extern void _ZN6S928C44v145Ev();
+extern void _ZN6S928C44v146Ev();
+extern void _ZN6S928C44v147Ev();
+extern void _ZN6S928C44v148Ev();
+extern void _ZN6S928C44v149Ev();
+extern void _ZN6S928C44v150Ev();
+extern void _ZN6S928C44v151Ev();
+extern void _ZN6S928C44v152Ev();
+extern void _ZN6S928C44v153Ev();
+extern void _ZN6S928C44v154Ev();
+extern void _ZN6S928C44v155Ev();
+static  VTBL_ENTRY vtc_S928C4[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S928C4[0]),
+  (VTBL_ENTRY)&_ZN6S928C4D1Ev,
+  (VTBL_ENTRY)&_ZN6S928C4D0Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v55Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v56Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v57Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v58Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v59Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v60Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v61Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v62Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v63Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v64Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v65Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v77Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v78Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v79Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v80Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v81Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v82Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v83Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v84Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v85Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v86Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v87Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v88Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v89Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v90Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v91Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v92Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v93Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v94Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v95Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v96Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v97Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v98Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v99Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v100Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v101Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v102Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v103Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v104Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v105Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v106Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v107Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v108Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v109Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v110Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v111Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v112Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v113Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v114Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v115Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v116Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v117Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v118Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v119Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v120Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v121Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v122Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v123Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v124Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v125Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v126Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v127Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v128Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v129Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v130Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v131Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v132Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v133Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v134Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v135Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v136Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v137Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v138Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v139Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v140Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v141Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v142Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v143Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v144Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v145Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v146Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v147Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v148Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v149Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v150Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v151Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v152Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v153Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v154Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v155Ev,
+};
+extern VTBL_ENTRY _ZTI6S928C4[];
+extern  VTBL_ENTRY _ZTV6S928C4[];
+Class_Descriptor cd_S928C4 = {  "S928C4", // class name
+  bases_S928C4, 1,
+  &(vtc_S928C4[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(832,632), // object size
+  NSPAIRA(_ZTI6S928C4),ABISELECT(24,12), //typeinfo_var
+  NSPAIRA(_ZTV6S928C4),105, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  1, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S928C3  : S928C4 {
+  int s928c3f0[2];
+  ::S938C40_nt s928c3f1;
+  void *s928c3f2[4];
+  ::S938C60 s928c3f3;
+  ::S938C60 s928c3f4;
+  ::S938C60 s928c3f5;
+  ::S938C40_nt s928c3f6;
+  ::S938C60 s928c3f7;
+  ::S938C40_nt s928c3f8;
+  ::S938C40_nt s928c3f9;
+  ::S938C60 s928c3f10;
+  ::S938C60 s928c3f11;
+  ::S938C60 s928c3f12;
+  ::S938C40_nt s928c3f13;
+  ::S938C60 s928c3f14;
+  ::S938C60 s928c3f15;
+  int s928c3f16[7];
+  ::S938C18 s928c3f17;
+  ::S938C40_nt s928c3f18;
+  ::S938C40_nt s928c3f19;
+  ::S938C40_nt s928c3f20;
+  void *s928c3f21;
+  int s928c3f22;
+  void *s928c3f23[4];
+  char s928c3f24[2];
+  ::S938C46 s928c3f25;
+  int s928c3f26[8];
+  char s928c3f27[6];
+  int s928c3f28;
+  char s928c3f29;
+  int s928c3f30[2];
+  char s928c3f31[2];
+  virtual  ~S928C3(); // _ZN6S928C3D1Ev
+  virtual void  v156(); // _ZN6S928C34v156Ev
+  virtual void  v157(); // _ZN6S928C34v157Ev
+  virtual void  v158(); // _ZN6S928C34v158Ev
+  virtual void  v159(); // _ZN6S928C34v159Ev
+  virtual void  v160(); // _ZN6S928C34v160Ev
+  virtual void  v161(); // _ZN6S928C34v161Ev
+  virtual void  v162(); // _ZN6S928C34v162Ev
+  virtual void  v163(); // _ZN6S928C34v163Ev
+  virtual void  v164(); // _ZN6S928C34v164Ev
+  virtual void  v165(); // _ZN6S928C34v165Ev
+  virtual void  v166(); // _ZN6S928C34v166Ev
+  virtual void  v167(); // _ZN6S928C34v167Ev
+  virtual void  v168(); // _ZN6S928C34v168Ev
+  virtual void  v169(); // _ZN6S928C34v169Ev
+  virtual void  v170(); // _ZN6S928C34v170Ev
+  virtual void  v171(); // _ZN6S928C34v171Ev
+  virtual void  v172(); // _ZN6S928C34v172Ev
+  virtual void  v173(); // _ZN6S928C34v173Ev
+  virtual void  v174(); // _ZN6S928C34v174Ev
+  virtual void  v175(); // _ZN6S928C34v175Ev
+  virtual void  v176(); // _ZN6S928C34v176Ev
+  virtual void  v177(); // _ZN6S928C34v177Ev
+  virtual void  v178(); // _ZN6S928C34v178Ev
+  virtual void  v179(); // _ZN6S928C34v179Ev
+  virtual void  v180(); // _ZN6S928C34v180Ev
+  virtual void  v181(); // _ZN6S928C34v181Ev
+  virtual void  v182(); // _ZN6S928C34v182Ev
+  virtual void  v183(); // _ZN6S928C34v183Ev
+  virtual void  v184(); // _ZN6S928C34v184Ev
+  virtual void  v185(); // _ZN6S928C34v185Ev
+  virtual void  v186(); // _ZN6S928C34v186Ev
+  virtual void  v187(); // _ZN6S928C34v187Ev
+  virtual void  v188(); // _ZN6S928C34v188Ev
+  virtual void  v189(); // _ZN6S928C34v189Ev
+  virtual void  v190(); // _ZN6S928C34v190Ev
+  virtual void  v191(); // _ZN6S928C34v191Ev
+  virtual void  v192(); // _ZN6S928C34v192Ev
+  virtual void  v193(); // _ZN6S928C34v193Ev
+  virtual void  v194(); // _ZN6S928C34v194Ev
+  virtual void  v195(); // _ZN6S928C34v195Ev
+  virtual void  v196(); // _ZN6S928C34v196Ev
+  virtual void  v197(); // _ZN6S928C34v197Ev
+  virtual void  v198(); // _ZN6S928C34v198Ev
+  virtual void  v199(); // _ZN6S928C34v199Ev
+  virtual void  v200(); // _ZN6S928C34v200Ev
+  virtual void  v201(); // _ZN6S928C34v201Ev
+  virtual void  v202(); // _ZN6S928C34v202Ev
+  virtual void  v203(); // _ZN6S928C34v203Ev
+  virtual void  v204(); // _ZN6S928C34v204Ev
+  virtual void  v205(); // _ZN6S928C34v205Ev
+  virtual void  v206(); // _ZN6S928C34v206Ev
+  virtual void  v207(); // _ZN6S928C34v207Ev
+  virtual void  v208(); // _ZN6S928C34v208Ev
+  virtual void  v209(); // _ZN6S928C34v209Ev
+  virtual void  v210(); // _ZN6S928C34v210Ev
+  virtual void  v211(); // _ZN6S928C34v211Ev
+  virtual void  v212(); // _ZN6S928C34v212Ev
+  virtual void  v213(); // _ZN6S928C34v213Ev
+  virtual void  v214(); // _ZN6S928C34v214Ev
+  virtual void  v215(); // _ZN6S928C34v215Ev
+  virtual void  v216(); // _ZN6S928C34v216Ev
+  virtual void  v217(); // _ZN6S928C34v217Ev
+  virtual void  v218(); // _ZN6S928C34v218Ev
+  virtual void  v219(); // _ZN6S928C34v219Ev
+  virtual void  v220(); // _ZN6S928C34v220Ev
+  virtual void  v221(); // _ZN6S928C34v221Ev
+  virtual void  v222(); // _ZN6S928C34v222Ev
+  virtual void  v223(); // _ZN6S928C34v223Ev
+  virtual void  v224(); // _ZN6S928C34v224Ev
+  virtual void  v225(); // _ZN6S928C34v225Ev
+  virtual void  v226(); // _ZN6S928C34v226Ev
+  virtual void  v227(); // _ZN6S928C34v227Ev
+  virtual void  v228(); // _ZN6S928C34v228Ev
+  virtual void  v229(); // _ZN6S928C34v229Ev
+  virtual void  v230(); // _ZN6S928C34v230Ev
+  virtual void  v231(); // _ZN6S928C34v231Ev
+  virtual void  v232(); // _ZN6S928C34v232Ev
+  virtual void  v233(); // _ZN6S928C34v233Ev
+  virtual void  v234(); // _ZN6S928C34v234Ev
+  virtual void  v235(); // _ZN6S928C34v235Ev
+  virtual void  v236(); // _ZN6S928C34v236Ev
+  virtual void  v237(); // _ZN6S928C34v237Ev
+  virtual void  v238(); // _ZN6S928C34v238Ev
+  virtual void  v239(); // _ZN6S928C34v239Ev
+  virtual void  v240(); // _ZN6S928C34v240Ev
+  virtual void  v241(); // _ZN6S928C34v241Ev
+  S928C3(); // tgen
+};
+//SIG(-1 S928C3) C1{ BC2{ BC3{ vd v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 v102 v103 v104 v105 v106 v107 v108 v109 Fp Fi FC4{ BC5{ m Fp Fi FC6{ m} FC7{ m}}} FC8{ BC9{ m Fp Fi FC10{ m} FC11{ m}}} Fi} vd v110 v111 v112 v113 v114 v115 v116 v117 v118 v119 v120 v121 v122 v123 v124 v125 v126 v127 v128 v129 v130 v131 v132 v133 v134 v135 v136 v137 v138 v139 v140 v141 v142 v143 v144 v145 v146 v147 v148 v149 v150 v151 v152 v153 v154 v155 v156 v157 v158 v159 v160 v161 v162 v163 v164 v165 v166 v167 v168 v169 v170 v171 v172 v173 v174 v175 v176 v177 v178 v179 v180 v181 v182 v183 v184 v185 v186 v187 FC12{ m FC13{ m FL[2]}} FC12 FC12 FC14{ m FC13} FC15{ m FC14} FC16{ m FC14 FC14 FC14 FC14} FC16 FC12 FC12 FC12 Fp FC15 FC17{ m FC18{ m Fp Fi FC19{ m}} Fi} Fi Fp[7] FC20{ m Fp Fi FC7 FC21{ m}} Fi:8 Fi:8 Fi:16 Fi:16 Fi:8 Fi:8 Fi:8 Fi:32 Fi:8 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 !
 Fc:1 Fc:1
  Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fi[7] Fp[6] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 FC22{ BC23{ m Fp Fi FC24{ m} FC24}} Fp[4] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:16 Fi:16 Fi:16 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:8 Fi:8 Fi:8 Fp[7] FC25{ m Fp Fi[2] FC6} Fp[2] Fi:16 Fi:16 FC26{ BC5} FC27{ m Fp Fi[2] FC11} FC28{ m Fp Fi[2] FC6} Fp[2] Fi:24 Fi:8 Fp} vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53 v54 v55 v56 v57 v58 v59 v60 v61 v62 v63 v64 v65 v66 v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 v78 v79 v80 v81 v82 v83 v84 v85 v86 Fi[2] FC29{ m FC30{ m FL}} Fp[4] FC12 FC12 FC12 FC29 FC12 FC29 FC29 FC12 FC12 FC12 FC29 FC12 FC12 Fi[7] FC15 FC29 FC29 FC29 Fp Fi Fp[4] Fc[2] FC5 Fi[8] Fc[6] Fi Fc Fi[2] Fc[2]}
+
+
+ S928C3 ::~S928C3(){ note_dtor("S928C3", this);}
+void  S928C3 ::v156(){vfunc_called(this, "_ZN6S928C34v156Ev");}
+void  S928C3 ::v157(){vfunc_called(this, "_ZN6S928C34v157Ev");}
+void  S928C3 ::v158(){vfunc_called(this, "_ZN6S928C34v158Ev");}
+void  S928C3 ::v159(){vfunc_called(this, "_ZN6S928C34v159Ev");}
+void  S928C3 ::v160(){vfunc_called(this, "_ZN6S928C34v160Ev");}
+void  S928C3 ::v161(){vfunc_called(this, "_ZN6S928C34v161Ev");}
+void  S928C3 ::v162(){vfunc_called(this, "_ZN6S928C34v162Ev");}
+void  S928C3 ::v163(){vfunc_called(this, "_ZN6S928C34v163Ev");}
+void  S928C3 ::v164(){vfunc_called(this, "_ZN6S928C34v164Ev");}
+void  S928C3 ::v165(){vfunc_called(this, "_ZN6S928C34v165Ev");}
+void  S928C3 ::v166(){vfunc_called(this, "_ZN6S928C34v166Ev");}
+void  S928C3 ::v167(){vfunc_called(this, "_ZN6S928C34v167Ev");}
+void  S928C3 ::v168(){vfunc_called(this, "_ZN6S928C34v168Ev");}
+void  S928C3 ::v169(){vfunc_called(this, "_ZN6S928C34v169Ev");}
+void  S928C3 ::v170(){vfunc_called(this, "_ZN6S928C34v170Ev");}
+void  S928C3 ::v171(){vfunc_called(this, "_ZN6S928C34v171Ev");}
+void  S928C3 ::v172(){vfunc_called(this, "_ZN6S928C34v172Ev");}
+void  S928C3 ::v173(){vfunc_called(this, "_ZN6S928C34v173Ev");}
+void  S928C3 ::v174(){vfunc_called(this, "_ZN6S928C34v174Ev");}
+void  S928C3 ::v175(){vfunc_called(this, "_ZN6S928C34v175Ev");}
+void  S928C3 ::v176(){vfunc_called(this, "_ZN6S928C34v176Ev");}
+void  S928C3 ::v177(){vfunc_called(this, "_ZN6S928C34v177Ev");}
+void  S928C3 ::v178(){vfunc_called(this, "_ZN6S928C34v178Ev");}
+void  S928C3 ::v179(){vfunc_called(this, "_ZN6S928C34v179Ev");}
+void  S928C3 ::v180(){vfunc_called(this, "_ZN6S928C34v180Ev");}
+void  S928C3 ::v181(){vfunc_called(this, "_ZN6S928C34v181Ev");}
+void  S928C3 ::v182(){vfunc_called(this, "_ZN6S928C34v182Ev");}
+void  S928C3 ::v183(){vfunc_called(this, "_ZN6S928C34v183Ev");}
+void  S928C3 ::v184(){vfunc_called(this, "_ZN6S928C34v184Ev");}
+void  S928C3 ::v185(){vfunc_called(this, "_ZN6S928C34v185Ev");}
+void  S928C3 ::v186(){vfunc_called(this, "_ZN6S928C34v186Ev");}
+void  S928C3 ::v187(){vfunc_called(this, "_ZN6S928C34v187Ev");}
+void  S928C3 ::v188(){vfunc_called(this, "_ZN6S928C34v188Ev");}
+void  S928C3 ::v189(){vfunc_called(this, "_ZN6S928C34v189Ev");}
+void  S928C3 ::v190(){vfunc_called(this, "_ZN6S928C34v190Ev");}
+void  S928C3 ::v191(){vfunc_called(this, "_ZN6S928C34v191Ev");}
+void  S928C3 ::v192(){vfunc_called(this, "_ZN6S928C34v192Ev");}
+void  S928C3 ::v193(){vfunc_called(this, "_ZN6S928C34v193Ev");}
+void  S928C3 ::v194(){vfunc_called(this, "_ZN6S928C34v194Ev");}
+void  S928C3 ::v195(){vfunc_called(this, "_ZN6S928C34v195Ev");}
+void  S928C3 ::v196(){vfunc_called(this, "_ZN6S928C34v196Ev");}
+void  S928C3 ::v197(){vfunc_called(this, "_ZN6S928C34v197Ev");}
+void  S928C3 ::v198(){vfunc_called(this, "_ZN6S928C34v198Ev");}
+void  S928C3 ::v199(){vfunc_called(this, "_ZN6S928C34v199Ev");}
+void  S928C3 ::v200(){vfunc_called(this, "_ZN6S928C34v200Ev");}
+void  S928C3 ::v201(){vfunc_called(this, "_ZN6S928C34v201Ev");}
+void  S928C3 ::v202(){vfunc_called(this, "_ZN6S928C34v202Ev");}
+void  S928C3 ::v203(){vfunc_called(this, "_ZN6S928C34v203Ev");}
+void  S928C3 ::v204(){vfunc_called(this, "_ZN6S928C34v204Ev");}
+void  S928C3 ::v205(){vfunc_called(this, "_ZN6S928C34v205Ev");}
+void  S928C3 ::v206(){vfunc_called(this, "_ZN6S928C34v206Ev");}
+void  S928C3 ::v207(){vfunc_called(this, "_ZN6S928C34v207Ev");}
+void  S928C3 ::v208(){vfunc_called(this, "_ZN6S928C34v208Ev");}
+void  S928C3 ::v209(){vfunc_called(this, "_ZN6S928C34v209Ev");}
+void  S928C3 ::v210(){vfunc_called(this, "_ZN6S928C34v210Ev");}
+void  S928C3 ::v211(){vfunc_called(this, "_ZN6S928C34v211Ev");}
+void  S928C3 ::v212(){vfunc_called(this, "_ZN6S928C34v212Ev");}
+void  S928C3 ::v213(){vfunc_called(this, "_ZN6S928C34v213Ev");}
+void  S928C3 ::v214(){vfunc_called(this, "_ZN6S928C34v214Ev");}
+void  S928C3 ::v215(){vfunc_called(this, "_ZN6S928C34v215Ev");}
+void  S928C3 ::v216(){vfunc_called(this, "_ZN6S928C34v216Ev");}
+void  S928C3 ::v217(){vfunc_called(this, "_ZN6S928C34v217Ev");}
+void  S928C3 ::v218(){vfunc_called(this, "_ZN6S928C34v218Ev");}
+void  S928C3 ::v219(){vfunc_called(this, "_ZN6S928C34v219Ev");}
+void  S928C3 ::v220(){vfunc_called(this, "_ZN6S928C34v220Ev");}
+void  S928C3 ::v221(){vfunc_called(this, "_ZN6S928C34v221Ev");}
+void  S928C3 ::v222(){vfunc_called(this, "_ZN6S928C34v222Ev");}
+void  S928C3 ::v223(){vfunc_called(this, "_ZN6S928C34v223Ev");}
+void  S928C3 ::v224(){vfunc_called(this, "_ZN6S928C34v224Ev");}
+void  S928C3 ::v225(){vfunc_called(this, "_ZN6S928C34v225Ev");}
+void  S928C3 ::v226(){vfunc_called(this, "_ZN6S928C34v226Ev");}
+void  S928C3 ::v227(){vfunc_called(this, "_ZN6S928C34v227Ev");}
+void  S928C3 ::v228(){vfunc_called(this, "_ZN6S928C34v228Ev");}
+void  S928C3 ::v229(){vfunc_called(this, "_ZN6S928C34v229Ev");}
+void  S928C3 ::v230(){vfunc_called(this, "_ZN6S928C34v230Ev");}
+void  S928C3 ::v231(){vfunc_called(this, "_ZN6S928C34v231Ev");}
+void  S928C3 ::v232(){vfunc_called(this, "_ZN6S928C34v232Ev");}
+void  S928C3 ::v233(){vfunc_called(this, "_ZN6S928C34v233Ev");}
+void  S928C3 ::v234(){vfunc_called(this, "_ZN6S928C34v234Ev");}
+void  S928C3 ::v235(){vfunc_called(this, "_ZN6S928C34v235Ev");}
+void  S928C3 ::v236(){vfunc_called(this, "_ZN6S928C34v236Ev");}
+void  S928C3 ::v237(){vfunc_called(this, "_ZN6S928C34v237Ev");}
+void  S928C3 ::v238(){vfunc_called(this, "_ZN6S928C34v238Ev");}
+void  S928C3 ::v239(){vfunc_called(this, "_ZN6S928C34v239Ev");}
+void  S928C3 ::v240(){vfunc_called(this, "_ZN6S928C34v240Ev");}
+void  S928C3 ::v241(){vfunc_called(this, "_ZN6S928C34v241Ev");}
+S928C3 ::S928C3(){ note_ctor("S928C3", this);} // tgen
+
+static void Test_S928C3()
+{
+  extern Class_Descriptor cd_S928C3;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(159,253)];
+    init_test(&cd_S928C3, buf);
+    S928C3 *dp, &lv = *(dp=new (buf) S928C3());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(1264,1008), "sizeof(S928C3)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S928C3)");
+    check_base_class_offset(lv, (S928C5*)(S928C4*), 0, "S928C3");
+    check_base_class_offset(lv, (S928C4*), 0, "S928C3");
+    check_field_offset(lv, s928c3f0, ABISELECT(832,632), "S928C3.s928c3f0");
+    check_field_offset(lv, s928c3f1, ABISELECT(840,640), "S928C3.s928c3f1");
+    check_field_offset(lv, s928c3f2, ABISELECT(848,648), "S928C3.s928c3f2");
+    check_field_offset(lv, s928c3f3, ABISELECT(880,664), "S928C3.s928c3f3");
+    check_field_offset(lv, s928c3f4, ABISELECT(896,680), "S928C3.s928c3f4");
+    check_field_offset(lv, s928c3f5, ABISELECT(912,696), "S928C3.s928c3f5");
+    check_field_offset(lv, s928c3f6, ABISELECT(928,712), "S928C3.s928c3f6");
+    check_field_offset(lv, s928c3f7, ABISELECT(936,720), "S928C3.s928c3f7");
+    check_field_offset(lv, s928c3f8, ABISELECT(952,736), "S928C3.s928c3f8");
+    check_field_offset(lv, s928c3f9, ABISELECT(960,744), "S928C3.s928c3f9");
+    check_field_offset(lv, s928c3f10, ABISELECT(968,752), "S928C3.s928c3f10");
+    check_field_offset(lv, s928c3f11, ABISELECT(984,768), "S928C3.s928c3f11");
+    check_field_offset(lv, s928c3f12, ABISELECT(1000,784), "S928C3.s928c3f12");
+    check_field_offset(lv, s928c3f13, ABISELECT(1016,800), "S928C3.s928c3f13");
+    check_field_offset(lv, s928c3f14, ABISELECT(1024,808), "S928C3.s928c3f14");
+    check_field_offset(lv, s928c3f15, ABISELECT(1040,824), "S928C3.s928c3f15");
+    check_field_offset(lv, s928c3f16, ABISELECT(1056,840), "S928C3.s928c3f16");
+    check_field_offset(lv, s928c3f17, ABISELECT(1088,868), "S928C3.s928c3f17");
+    check_field_offset(lv, s928c3f18, ABISELECT(1104,884), "S928C3.s928c3f18");
+    check_field_offset(lv, s928c3f19, ABISELECT(1112,892), "S928C3.s928c3f19");
+    check_field_offset(lv, s928c3f20, ABISELECT(1120,900), "S928C3.s928c3f20");
+    check_field_offset(lv, s928c3f21, ABISELECT(1128,908), "S928C3.s928c3f21");
+    check_field_offset(lv, s928c3f22, ABISELECT(1136,912), "S928C3.s928c3f22");
+    check_field_offset(lv, s928c3f23, ABISELECT(1144,916), "S928C3.s928c3f23");
+    check_field_offset(lv, s928c3f24, ABISELECT(1176,932), "S928C3.s928c3f24");
+    check_field_offset(lv, s928c3f25, ABISELECT(1184,936), "S928C3.s928c3f25");
+    check_field_offset(lv, s928c3f26, ABISELECT(1200,948), "S928C3.s928c3f26");
+    check_field_offset(lv, s928c3f27, ABISELECT(1232,980), "S928C3.s928c3f27");
+    check_field_offset(lv, s928c3f28, ABISELECT(1240,988), "S928C3.s928c3f28");
+    check_field_offset(lv, s928c3f29, ABISELECT(1244,992), "S928C3.s928c3f29");
+    check_field_offset(lv, s928c3f30, ABISELECT(1248,996), "S928C3.s928c3f30");
+    check_field_offset(lv, s928c3f31, ABISELECT(1256,1004), "S928C3.s928c3f31");
+    test_class_info(&lv, &cd_S928C3);
+    dp->~S928C3();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS928C3(Test_S928C3, "S928C3", ABISELECT(1264,1008));
+
+#else // __cplusplus
+
+extern void _ZN6S928C3C1Ev();
+extern void _ZN6S928C3D1Ev();
+Name_Map name_map_S928C3[] = {
+  NSPAIR(_ZN6S928C3C1Ev),
+  NSPAIR(_ZN6S928C3D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S928C5;
+extern VTBL_ENTRY _ZTI6S928C5[];
+extern  VTBL_ENTRY _ZTV6S928C5[];
+extern Class_Descriptor cd_S928C4;
+extern VTBL_ENTRY _ZTI6S928C4[];
+extern  VTBL_ENTRY _ZTV6S928C4[];
+static Base_Class bases_S928C3[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S928C5,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    1, //immediately_derived
+  0, 0},
+  {&cd_S928C4,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    2, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI6S928C3[];
+extern void _ZN6S928C3D1Ev();
+extern void _ZN6S928C3D0Ev();
+extern void _ZN6S928C53v55Ev();
+extern void _ZN6S928C53v56Ev();
+extern void _ZN6S928C53v57Ev();
+extern void _ZN6S928C53v58Ev();
+extern void _ZN6S928C53v59Ev();
+extern void _ZN6S928C53v60Ev();
+extern void _ZN6S928C53v61Ev();
+extern void _ZN6S928C53v62Ev();
+extern void _ZN6S928C53v63Ev();
+extern void _ZN6S928C53v64Ev();
+extern void _ZN6S928C53v65Ev();
+extern void _ZN6S928C53v66Ev();
+extern void _ZN6S928C53v67Ev();
+extern void _ZN6S928C53v68Ev();
+extern void _ZN6S928C53v69Ev();
+extern void _ZN6S928C53v70Ev();
+extern void _ZN6S928C53v71Ev();
+extern void _ZN6S928C53v72Ev();
+extern void _ZN6S928C53v73Ev();
+extern void _ZN6S928C53v74Ev();
+extern void _ZN6S928C53v75Ev();
+extern void _ZN6S928C53v76Ev();
+extern void _ZN6S928C53v77Ev();
+extern void _ZN6S928C43v78Ev();
+extern void _ZN6S928C43v79Ev();
+extern void _ZN6S928C43v80Ev();
+extern void _ZN6S928C43v81Ev();
+extern void _ZN6S928C43v82Ev();
+extern void _ZN6S928C43v83Ev();
+extern void _ZN6S928C43v84Ev();
+extern void _ZN6S928C43v85Ev();
+extern void _ZN6S928C43v86Ev();
+extern void _ZN6S928C43v87Ev();
+extern void _ZN6S928C43v88Ev();
+extern void _ZN6S928C43v89Ev();
+extern void _ZN6S928C43v90Ev();
+extern void _ZN6S928C43v91Ev();
+extern void _ZN6S928C43v92Ev();
+extern void _ZN6S928C43v93Ev();
+extern void _ZN6S928C43v94Ev();
+extern void _ZN6S928C43v95Ev();
+extern void _ZN6S928C43v96Ev();
+extern void _ZN6S928C43v97Ev();
+extern void _ZN6S928C43v98Ev();
+extern void _ZN6S928C43v99Ev();
+extern void _ZN6S928C44v100Ev();
+extern void _ZN6S928C44v101Ev();
+extern void _ZN6S928C44v102Ev();
+extern void _ZN6S928C44v103Ev();
+extern void _ZN6S928C44v104Ev();
+extern void _ZN6S928C44v105Ev();
+extern void _ZN6S928C44v106Ev();
+extern void _ZN6S928C44v107Ev();
+extern void _ZN6S928C44v108Ev();
+extern void _ZN6S928C44v109Ev();
+extern void _ZN6S928C44v110Ev();
+extern void _ZN6S928C44v111Ev();
+extern void _ZN6S928C44v112Ev();
+extern void _ZN6S928C44v113Ev();
+extern void _ZN6S928C44v114Ev();
+extern void _ZN6S928C44v115Ev();
+extern void _ZN6S928C44v116Ev();
+extern void _ZN6S928C44v117Ev();
+extern void _ZN6S928C44v118Ev();
+extern void _ZN6S928C44v119Ev();
+extern void _ZN6S928C44v120Ev();
+extern void _ZN6S928C44v121Ev();
+extern void _ZN6S928C44v122Ev();
+extern void _ZN6S928C44v123Ev();
+extern void _ZN6S928C44v124Ev();
+extern void _ZN6S928C44v125Ev();
+extern void _ZN6S928C44v126Ev();
+extern void _ZN6S928C44v127Ev();
+extern void _ZN6S928C44v128Ev();
+extern void _ZN6S928C44v129Ev();
+extern void _ZN6S928C44v130Ev();
+extern void _ZN6S928C44v131Ev();
+extern void _ZN6S928C44v132Ev();
+extern void _ZN6S928C44v133Ev();
+extern void _ZN6S928C44v134Ev();
+extern void _ZN6S928C44v135Ev();
+extern void _ZN6S928C44v136Ev();
+extern void _ZN6S928C44v137Ev();
+extern void _ZN6S928C44v138Ev();
+extern void _ZN6S928C44v139Ev();
+extern void _ZN6S928C44v140Ev();
+extern void _ZN6S928C44v141Ev();
+extern void _ZN6S928C44v142Ev();
+extern void _ZN6S928C44v143Ev();
+extern void _ZN6S928C44v144Ev();
+extern void _ZN6S928C44v145Ev();
+extern void _ZN6S928C44v146Ev();
+extern void _ZN6S928C44v147Ev();
+extern void _ZN6S928C44v148Ev();
+extern void _ZN6S928C44v149Ev();
+extern void _ZN6S928C44v150Ev();
+extern void _ZN6S928C44v151Ev();
+extern void _ZN6S928C44v152Ev();
+extern void _ZN6S928C44v153Ev();
+extern void _ZN6S928C44v154Ev();
+extern void _ZN6S928C44v155Ev();
+extern void _ZN6S928C34v156Ev();
+extern void _ZN6S928C34v157Ev();
+extern void _ZN6S928C34v158Ev();
+extern void _ZN6S928C34v159Ev();
+extern void _ZN6S928C34v160Ev();
+extern void _ZN6S928C34v161Ev();
+extern void _ZN6S928C34v162Ev();
+extern void _ZN6S928C34v163Ev();
+extern void _ZN6S928C34v164Ev();
+extern void _ZN6S928C34v165Ev();
+extern void _ZN6S928C34v166Ev();
+extern void _ZN6S928C34v167Ev();
+extern void _ZN6S928C34v168Ev();
+extern void _ZN6S928C34v169Ev();
+extern void _ZN6S928C34v170Ev();
+extern void _ZN6S928C34v171Ev();
+extern void _ZN6S928C34v172Ev();
+extern void _ZN6S928C34v173Ev();
+extern void _ZN6S928C34v174Ev();
+extern void _ZN6S928C34v175Ev();
+extern void _ZN6S928C34v176Ev();
+extern void _ZN6S928C34v177Ev();
+extern void _ZN6S928C34v178Ev();
+extern void _ZN6S928C34v179Ev();
+extern void _ZN6S928C34v180Ev();
+extern void _ZN6S928C34v181Ev();
+extern void _ZN6S928C34v182Ev();
+extern void _ZN6S928C34v183Ev();
+extern void _ZN6S928C34v184Ev();
+extern void _ZN6S928C34v185Ev();
+extern void _ZN6S928C34v186Ev();
+extern void _ZN6S928C34v187Ev();
+extern void _ZN6S928C34v188Ev();
+extern void _ZN6S928C34v189Ev();
+extern void _ZN6S928C34v190Ev();
+extern void _ZN6S928C34v191Ev();
+extern void _ZN6S928C34v192Ev();
+extern void _ZN6S928C34v193Ev();
+extern void _ZN6S928C34v194Ev();
+extern void _ZN6S928C34v195Ev();
+extern void _ZN6S928C34v196Ev();
+extern void _ZN6S928C34v197Ev();
+extern void _ZN6S928C34v198Ev();
+extern void _ZN6S928C34v199Ev();
+extern void _ZN6S928C34v200Ev();
+extern void _ZN6S928C34v201Ev();
+extern void _ZN6S928C34v202Ev();
+extern void _ZN6S928C34v203Ev();
+extern void _ZN6S928C34v204Ev();
+extern void _ZN6S928C34v205Ev();
+extern void _ZN6S928C34v206Ev();
+extern void _ZN6S928C34v207Ev();
+extern void _ZN6S928C34v208Ev();
+extern void _ZN6S928C34v209Ev();
+extern void _ZN6S928C34v210Ev();
+extern void _ZN6S928C34v211Ev();
+extern void _ZN6S928C34v212Ev();
+extern void _ZN6S928C34v213Ev();
+extern void _ZN6S928C34v214Ev();
+extern void _ZN6S928C34v215Ev();
+extern void _ZN6S928C34v216Ev();
+extern void _ZN6S928C34v217Ev();
+extern void _ZN6S928C34v218Ev();
+extern void _ZN6S928C34v219Ev();
+extern void _ZN6S928C34v220Ev();
+extern void _ZN6S928C34v221Ev();
+extern void _ZN6S928C34v222Ev();
+extern void _ZN6S928C34v223Ev();
+extern void _ZN6S928C34v224Ev();
+extern void _ZN6S928C34v225Ev();
+extern void _ZN6S928C34v226Ev();
+extern void _ZN6S928C34v227Ev();
+extern void _ZN6S928C34v228Ev();
+extern void _ZN6S928C34v229Ev();
+extern void _ZN6S928C34v230Ev();
+extern void _ZN6S928C34v231Ev();
+extern void _ZN6S928C34v232Ev();
+extern void _ZN6S928C34v233Ev();
+extern void _ZN6S928C34v234Ev();
+extern void _ZN6S928C34v235Ev();
+extern void _ZN6S928C34v236Ev();
+extern void _ZN6S928C34v237Ev();
+extern void _ZN6S928C34v238Ev();
+extern void _ZN6S928C34v239Ev();
+extern void _ZN6S928C34v240Ev();
+extern void _ZN6S928C34v241Ev();
+static  VTBL_ENTRY vtc_S928C3[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S928C3[0]),
+  (VTBL_ENTRY)&_ZN6S928C3D1Ev,
+  (VTBL_ENTRY)&_ZN6S928C3D0Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v55Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v56Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v57Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v58Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v59Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v60Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v61Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v62Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v63Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v64Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v65Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v77Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v78Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v79Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v80Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v81Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v82Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v83Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v84Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v85Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v86Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v87Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v88Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v89Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v90Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v91Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v92Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v93Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v94Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v95Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v96Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v97Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v98Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v99Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v100Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v101Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v102Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v103Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v104Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v105Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v106Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v107Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v108Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v109Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v110Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v111Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v112Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v113Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v114Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v115Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v116Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v117Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v118Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v119Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v120Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v121Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v122Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v123Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v124Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v125Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v126Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v127Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v128Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v129Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v130Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v131Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v132Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v133Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v134Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v135Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v136Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v137Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v138Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v139Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v140Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v141Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v142Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v143Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v144Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v145Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v146Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v147Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v148Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v149Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v150Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v151Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v152Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v153Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v154Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v155Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v156Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v157Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v158Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v159Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v160Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v161Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v162Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v163Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v164Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v165Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v166Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v167Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v168Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v169Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v170Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v171Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v172Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v173Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v174Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v175Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v176Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v177Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v178Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v179Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v180Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v181Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v182Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v183Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v184Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v185Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v186Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v187Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v188Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v189Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v190Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v191Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v192Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v193Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v194Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v195Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v196Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v197Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v198Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v199Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v200Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v201Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v202Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v203Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v204Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v205Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v206Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v207Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v208Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v209Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v210Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v211Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v212Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v213Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v214Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v215Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v216Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v217Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v218Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v219Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v220Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v221Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v222Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v223Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v224Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v225Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v226Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v227Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v228Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v229Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v230Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v231Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v232Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v233Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v234Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v235Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v236Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v237Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v238Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v239Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v240Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v241Ev,
+};
+extern VTBL_ENTRY _ZTI6S928C3[];
+extern  VTBL_ENTRY _ZTV6S928C3[];
+Class_Descriptor cd_S928C3 = {  "S928C3", // class name
+  bases_S928C3, 2,
+  &(vtc_S928C3[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(1264,1008), // object size
+  NSPAIRA(_ZTI6S928C3),ABISELECT(24,12), //typeinfo_var
+  NSPAIRA(_ZTV6S928C3),191, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  2, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S928C45  : S938C27 {
+};
+//SIG(-1 S928C45) C1{ BC2{ m Fp Fi FC3{ m} FC4{ m}}}
+
+
+
+static void Test_S928C45()
+{
+  extern Class_Descriptor cd_S928C45;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(3,4)];
+    init_test(&cd_S928C45, buf);
+    S928C45 &lv = *(new (buf) S928C45());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(16,12), "sizeof(S928C45)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S928C45)");
+    check_base_class_offset(lv, (S938C27*), 0, "S928C45");
+    test_class_info(&lv, &cd_S928C45);
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS928C45(Test_S928C45, "S928C45", ABISELECT(16,12));
+
+#else // __cplusplus
+
+extern Class_Descriptor cd_S938C27;
+static Base_Class bases_S928C45[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S938C27,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    -1, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+Class_Descriptor cd_S928C45 = {  "S928C45", // class name
+  bases_S928C45, 1,
+  0, // no vftv
+  0, //no vtt
+  ABISELECT(16,12), // object size
+  {0,0},0, // typeinfo_var
+  {0,0},0, // virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S928C2  : S928C3 {
+  ::S928C45 s928c2f0;
+  ::S927C53 s928c2f1;
+  char s928c2f2[2];
+  ::S938C40_nt s928c2f3;
+  ::S938C40_nt s928c2f4;
+  ::S938C60 s928c2f5;
+  virtual  ~S928C2(); // _ZN6S928C2D1Ev
+  virtual void  v242(); // _ZN6S928C24v242Ev
+  virtual void  v243(); // _ZN6S928C24v243Ev
+  virtual void  v244(); // _ZN6S928C24v244Ev
+  virtual void  v245(); // _ZN6S928C24v245Ev
+  virtual void  v246(); // _ZN6S928C24v246Ev
+  virtual void  v247(); // _ZN6S928C24v247Ev
+  virtual void  v248(); // _ZN6S928C24v248Ev
+  virtual void  v249(); // _ZN6S928C24v249Ev
+  virtual void  v250(); // _ZN6S928C24v250Ev
+  S928C2(); // tgen
+};
+//SIG(-1 S928C2) C1{ BC2{ BC3{ BC4{ vd v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 Fp Fi FC5{ BC6{ m Fp Fi FC7{ m} FC8{ m}}} FC9{ BC10{ m Fp Fi FC11{ m} FC12{ m}}} Fi} vd v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53 v54 v55 v56 v57 v58 v59 v60 v61 v62 v63 v64 v65 v66 v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 v78 v79 v80 v81 v82 v83 v84 v85 v86 v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 v102 v103 v104 v105 v106 v107 v108 v109 v110 FC13{ m FC14{ m FL[2]}} FC13 FC13 FC15{ m FC14} FC16{ m FC15} FC17{ m FC15 FC15 FC15 FC15} FC17 FC13 FC13 FC13 Fp FC16 FC18{ m FC19{ m Fp Fi FC20{ m}} Fi} Fi Fp[7] FC21{ m Fp Fi FC8 FC22{ m}} Fi:8 Fi:8 Fi:16 Fi:16 Fi:8 Fi:8 Fi:8 Fi:32 Fi:8 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 F!
 c:1 Fc:1 
 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fi[7] Fp[6] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 FC23{ BC24{ m Fp Fi FC25{ m} FC25}} Fp[4] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:16 Fi:16 Fi:16 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:8 Fi:8 Fi:8 Fp[7] FC26{ m Fp Fi[2] FC7} Fp[2] Fi:16 Fi:16 FC27{ BC6} FC28{ m Fp Fi[2] FC12} FC29{ m Fp Fi[2] FC7} Fp[2] Fi:24 Fi:8 Fp} vd v111 v112 v113 v114 v115 v116 v117 v118 v119 v120 v121 v122 v123 v124 v125 v126 v127 v128 v129 v130 v131 v132 v133 v134 v135 v136 v137 v138 v139 v140 v141 v142 v143 v144 v145 v146 v147 v148 v149 v150 v151 v152 v153 v154 v155 v156 v157 v158 v159 v160 v161 v162 v163 v164 v165 v166 v167 v168 v169 v170 v171 v172 v173 v174 v175 v176 v177 v178 v179 v180 v181 v182 v183 v184 v185 v186 v187 v188 v189 v190 v191 v192 v193 v194 v195 v196 Fi[2] FC30{ m FC31{ m FL}} Fp[4] FC13 FC13 FC13 FC30 FC13 FC30 FC30 FC13 FC13 FC13 FC30 FC13 FC13 Fi[7] FC16 FC30 FC30 FC30 Fp Fi Fp[4] Fc[2] FC6 Fi[8] Fc[6] Fi Fc Fi[2] Fc[2]} vd v1 v2 v3 v!
 4 v5 v6 v
 7 v8 v9 FC32{ BC10} FC33{ m Fp Fi[2] FC8} Fc[2] FC30 FC30 FC13}
+
+
+ S928C2 ::~S928C2(){ note_dtor("S928C2", this);}
+void  S928C2 ::v242(){vfunc_called(this, "_ZN6S928C24v242Ev");}
+void  S928C2 ::v243(){vfunc_called(this, "_ZN6S928C24v243Ev");}
+void  S928C2 ::v244(){vfunc_called(this, "_ZN6S928C24v244Ev");}
+void  S928C2 ::v245(){vfunc_called(this, "_ZN6S928C24v245Ev");}
+void  S928C2 ::v246(){vfunc_called(this, "_ZN6S928C24v246Ev");}
+void  S928C2 ::v247(){vfunc_called(this, "_ZN6S928C24v247Ev");}
+void  S928C2 ::v248(){vfunc_called(this, "_ZN6S928C24v248Ev");}
+void  S928C2 ::v249(){vfunc_called(this, "_ZN6S928C24v249Ev");}
+void  S928C2 ::v250(){vfunc_called(this, "_ZN6S928C24v250Ev");}
+S928C2 ::S928C2(){ note_ctor("S928C2", this);} // tgen
+
+static void Test_S928C2()
+{
+  extern Class_Descriptor cd_S928C2;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(169,269)];
+    init_test(&cd_S928C2, buf);
+    S928C2 *dp, &lv = *(dp=new (buf) S928C2());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(1344,1072), "sizeof(S928C2)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S928C2)");
+    check_base_class_offset(lv, (S928C5*)(S928C4*)(S928C3*), 0, "S928C2");
+    check_base_class_offset(lv, (S928C4*)(S928C3*), 0, "S928C2");
+    check_base_class_offset(lv, (S928C3*), 0, "S928C2");
+    check_field_offset(lv, s928c2f0, ABISELECT(1264,1008), "S928C2.s928c2f0");
+    check_field_offset(lv, s928c2f1, ABISELECT(1280,1020), "S928C2.s928c2f1");
+    check_field_offset(lv, s928c2f2, ABISELECT(1304,1036), "S928C2.s928c2f2");
+    check_field_offset(lv, s928c2f3, ABISELECT(1312,1040), "S928C2.s928c2f3");
+    check_field_offset(lv, s928c2f4, ABISELECT(1320,1048), "S928C2.s928c2f4");
+    check_field_offset(lv, s928c2f5, ABISELECT(1328,1056), "S928C2.s928c2f5");
+    test_class_info(&lv, &cd_S928C2);
+    dp->~S928C2();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS928C2(Test_S928C2, "S928C2", ABISELECT(1344,1072));
+
+#else // __cplusplus
+
+extern void _ZN6S928C2C1Ev();
+extern void _ZN6S928C2D1Ev();
+Name_Map name_map_S928C2[] = {
+  NSPAIR(_ZN6S928C2C1Ev),
+  NSPAIR(_ZN6S928C2D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S928C5;
+extern VTBL_ENTRY _ZTI6S928C5[];
+extern  VTBL_ENTRY _ZTV6S928C5[];
+extern Class_Descriptor cd_S928C4;
+extern VTBL_ENTRY _ZTI6S928C4[];
+extern  VTBL_ENTRY _ZTV6S928C4[];
+extern Class_Descriptor cd_S928C3;
+extern VTBL_ENTRY _ZTI6S928C3[];
+extern  VTBL_ENTRY _ZTV6S928C3[];
+static Base_Class bases_S928C2[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S928C5,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    1, //immediately_derived
+  0, 0},
+  {&cd_S928C4,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    2, //init_seq
+    2, //immediately_derived
+  0, 0},
+  {&cd_S928C3,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    3, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI6S928C2[];
+extern void _ZN6S928C2D1Ev();
+extern void _ZN6S928C2D0Ev();
+extern void _ZN6S928C53v55Ev();
+extern void _ZN6S928C53v56Ev();
+extern void _ZN6S928C53v57Ev();
+extern void _ZN6S928C53v58Ev();
+extern void _ZN6S928C53v59Ev();
+extern void _ZN6S928C53v60Ev();
+extern void _ZN6S928C53v61Ev();
+extern void _ZN6S928C53v62Ev();
+extern void _ZN6S928C53v63Ev();
+extern void _ZN6S928C53v64Ev();
+extern void _ZN6S928C53v65Ev();
+extern void _ZN6S928C53v66Ev();
+extern void _ZN6S928C53v67Ev();
+extern void _ZN6S928C53v68Ev();
+extern void _ZN6S928C53v69Ev();
+extern void _ZN6S928C53v70Ev();
+extern void _ZN6S928C53v71Ev();
+extern void _ZN6S928C53v72Ev();
+extern void _ZN6S928C53v73Ev();
+extern void _ZN6S928C53v74Ev();
+extern void _ZN6S928C53v75Ev();
+extern void _ZN6S928C53v76Ev();
+extern void _ZN6S928C53v77Ev();
+extern void _ZN6S928C43v78Ev();
+extern void _ZN6S928C43v79Ev();
+extern void _ZN6S928C43v80Ev();
+extern void _ZN6S928C43v81Ev();
+extern void _ZN6S928C43v82Ev();
+extern void _ZN6S928C43v83Ev();
+extern void _ZN6S928C43v84Ev();
+extern void _ZN6S928C43v85Ev();
+extern void _ZN6S928C43v86Ev();
+extern void _ZN6S928C43v87Ev();
+extern void _ZN6S928C43v88Ev();
+extern void _ZN6S928C43v89Ev();
+extern void _ZN6S928C43v90Ev();
+extern void _ZN6S928C43v91Ev();
+extern void _ZN6S928C43v92Ev();
+extern void _ZN6S928C43v93Ev();
+extern void _ZN6S928C43v94Ev();
+extern void _ZN6S928C43v95Ev();
+extern void _ZN6S928C43v96Ev();
+extern void _ZN6S928C43v97Ev();
+extern void _ZN6S928C43v98Ev();
+extern void _ZN6S928C43v99Ev();
+extern void _ZN6S928C44v100Ev();
+extern void _ZN6S928C44v101Ev();
+extern void _ZN6S928C44v102Ev();
+extern void _ZN6S928C44v103Ev();
+extern void _ZN6S928C44v104Ev();
+extern void _ZN6S928C44v105Ev();
+extern void _ZN6S928C44v106Ev();
+extern void _ZN6S928C44v107Ev();
+extern void _ZN6S928C44v108Ev();
+extern void _ZN6S928C44v109Ev();
+extern void _ZN6S928C44v110Ev();
+extern void _ZN6S928C44v111Ev();
+extern void _ZN6S928C44v112Ev();
+extern void _ZN6S928C44v113Ev();
+extern void _ZN6S928C44v114Ev();
+extern void _ZN6S928C44v115Ev();
+extern void _ZN6S928C44v116Ev();
+extern void _ZN6S928C44v117Ev();
+extern void _ZN6S928C44v118Ev();
+extern void _ZN6S928C44v119Ev();
+extern void _ZN6S928C44v120Ev();
+extern void _ZN6S928C44v121Ev();
+extern void _ZN6S928C44v122Ev();
+extern void _ZN6S928C44v123Ev();
+extern void _ZN6S928C44v124Ev();
+extern void _ZN6S928C44v125Ev();
+extern void _ZN6S928C44v126Ev();
+extern void _ZN6S928C44v127Ev();
+extern void _ZN6S928C44v128Ev();
+extern void _ZN6S928C44v129Ev();
+extern void _ZN6S928C44v130Ev();
+extern void _ZN6S928C44v131Ev();
+extern void _ZN6S928C44v132Ev();
+extern void _ZN6S928C44v133Ev();
+extern void _ZN6S928C44v134Ev();
+extern void _ZN6S928C44v135Ev();
+extern void _ZN6S928C44v136Ev();
+extern void _ZN6S928C44v137Ev();
+extern void _ZN6S928C44v138Ev();
+extern void _ZN6S928C44v139Ev();
+extern void _ZN6S928C44v140Ev();
+extern void _ZN6S928C44v141Ev();
+extern void _ZN6S928C44v142Ev();
+extern void _ZN6S928C44v143Ev();
+extern void _ZN6S928C44v144Ev();
+extern void _ZN6S928C44v145Ev();
+extern void _ZN6S928C44v146Ev();
+extern void _ZN6S928C44v147Ev();
+extern void _ZN6S928C44v148Ev();
+extern void _ZN6S928C44v149Ev();
+extern void _ZN6S928C44v150Ev();
+extern void _ZN6S928C44v151Ev();
+extern void _ZN6S928C44v152Ev();
+extern void _ZN6S928C44v153Ev();
+extern void _ZN6S928C44v154Ev();
+extern void _ZN6S928C44v155Ev();
+extern void _ZN6S928C34v156Ev();
+extern void _ZN6S928C34v157Ev();
+extern void _ZN6S928C34v158Ev();
+extern void _ZN6S928C34v159Ev();
+extern void _ZN6S928C34v160Ev();
+extern void _ZN6S928C34v161Ev();
+extern void _ZN6S928C34v162Ev();
+extern void _ZN6S928C34v163Ev();
+extern void _ZN6S928C34v164Ev();
+extern void _ZN6S928C34v165Ev();
+extern void _ZN6S928C34v166Ev();
+extern void _ZN6S928C34v167Ev();
+extern void _ZN6S928C34v168Ev();
+extern void _ZN6S928C34v169Ev();
+extern void _ZN6S928C34v170Ev();
+extern void _ZN6S928C34v171Ev();
+extern void _ZN6S928C34v172Ev();
+extern void _ZN6S928C34v173Ev();
+extern void _ZN6S928C34v174Ev();
+extern void _ZN6S928C34v175Ev();
+extern void _ZN6S928C34v176Ev();
+extern void _ZN6S928C34v177Ev();
+extern void _ZN6S928C34v178Ev();
+extern void _ZN6S928C34v179Ev();
+extern void _ZN6S928C34v180Ev();
+extern void _ZN6S928C34v181Ev();
+extern void _ZN6S928C34v182Ev();
+extern void _ZN6S928C34v183Ev();
+extern void _ZN6S928C34v184Ev();
+extern void _ZN6S928C34v185Ev();
+extern void _ZN6S928C34v186Ev();
+extern void _ZN6S928C34v187Ev();
+extern void _ZN6S928C34v188Ev();
+extern void _ZN6S928C34v189Ev();
+extern void _ZN6S928C34v190Ev();
+extern void _ZN6S928C34v191Ev();
+extern void _ZN6S928C34v192Ev();
+extern void _ZN6S928C34v193Ev();
+extern void _ZN6S928C34v194Ev();
+extern void _ZN6S928C34v195Ev();
+extern void _ZN6S928C34v196Ev();
+extern void _ZN6S928C34v197Ev();
+extern void _ZN6S928C34v198Ev();
+extern void _ZN6S928C34v199Ev();
+extern void _ZN6S928C34v200Ev();
+extern void _ZN6S928C34v201Ev();
+extern void _ZN6S928C34v202Ev();
+extern void _ZN6S928C34v203Ev();
+extern void _ZN6S928C34v204Ev();
+extern void _ZN6S928C34v205Ev();
+extern void _ZN6S928C34v206Ev();
+extern void _ZN6S928C34v207Ev();
+extern void _ZN6S928C34v208Ev();
+extern void _ZN6S928C34v209Ev();
+extern void _ZN6S928C34v210Ev();
+extern void _ZN6S928C34v211Ev();
+extern void _ZN6S928C34v212Ev();
+extern void _ZN6S928C34v213Ev();
+extern void _ZN6S928C34v214Ev();
+extern void _ZN6S928C34v215Ev();
+extern void _ZN6S928C34v216Ev();
+extern void _ZN6S928C34v217Ev();
+extern void _ZN6S928C34v218Ev();
+extern void _ZN6S928C34v219Ev();
+extern void _ZN6S928C34v220Ev();
+extern void _ZN6S928C34v221Ev();
+extern void _ZN6S928C34v222Ev();
+extern void _ZN6S928C34v223Ev();
+extern void _ZN6S928C34v224Ev();
+extern void _ZN6S928C34v225Ev();
+extern void _ZN6S928C34v226Ev();
+extern void _ZN6S928C34v227Ev();
+extern void _ZN6S928C34v228Ev();
+extern void _ZN6S928C34v229Ev();
+extern void _ZN6S928C34v230Ev();
+extern void _ZN6S928C34v231Ev();
+extern void _ZN6S928C34v232Ev();
+extern void _ZN6S928C34v233Ev();
+extern void _ZN6S928C34v234Ev();
+extern void _ZN6S928C34v235Ev();
+extern void _ZN6S928C34v236Ev();
+extern void _ZN6S928C34v237Ev();
+extern void _ZN6S928C34v238Ev();
+extern void _ZN6S928C34v239Ev();
+extern void _ZN6S928C34v240Ev();
+extern void _ZN6S928C34v241Ev();
+extern void _ZN6S928C24v242Ev();
+extern void _ZN6S928C24v243Ev();
+extern void _ZN6S928C24v244Ev();
+extern void _ZN6S928C24v245Ev();
+extern void _ZN6S928C24v246Ev();
+extern void _ZN6S928C24v247Ev();
+extern void _ZN6S928C24v248Ev();
+extern void _ZN6S928C24v249Ev();
+extern void _ZN6S928C24v250Ev();
+static  VTBL_ENTRY vtc_S928C2[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S928C2[0]),
+  (VTBL_ENTRY)&_ZN6S928C2D1Ev,
+  (VTBL_ENTRY)&_ZN6S928C2D0Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v55Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v56Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v57Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v58Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v59Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v60Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v61Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v62Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v63Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v64Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v65Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v77Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v78Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v79Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v80Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v81Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v82Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v83Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v84Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v85Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v86Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v87Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v88Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v89Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v90Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v91Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v92Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v93Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v94Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v95Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v96Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v97Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v98Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v99Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v100Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v101Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v102Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v103Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v104Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v105Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v106Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v107Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v108Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v109Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v110Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v111Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v112Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v113Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v114Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v115Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v116Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v117Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v118Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v119Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v120Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v121Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v122Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v123Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v124Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v125Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v126Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v127Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v128Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v129Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v130Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v131Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v132Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v133Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v134Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v135Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v136Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v137Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v138Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v139Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v140Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v141Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v142Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v143Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v144Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v145Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v146Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v147Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v148Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v149Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v150Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v151Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v152Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v153Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v154Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v155Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v156Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v157Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v158Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v159Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v160Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v161Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v162Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v163Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v164Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v165Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v166Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v167Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v168Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v169Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v170Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v171Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v172Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v173Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v174Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v175Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v176Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v177Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v178Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v179Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v180Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v181Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v182Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v183Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v184Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v185Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v186Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v187Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v188Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v189Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v190Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v191Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v192Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v193Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v194Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v195Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v196Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v197Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v198Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v199Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v200Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v201Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v202Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v203Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v204Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v205Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v206Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v207Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v208Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v209Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v210Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v211Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v212Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v213Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v214Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v215Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v216Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v217Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v218Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v219Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v220Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v221Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v222Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v223Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v224Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v225Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v226Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v227Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v228Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v229Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v230Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v231Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v232Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v233Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v234Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v235Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v236Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v237Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v238Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v239Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v240Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v241Ev,
+  (VTBL_ENTRY)&_ZN6S928C24v242Ev,
+  (VTBL_ENTRY)&_ZN6S928C24v243Ev,
+  (VTBL_ENTRY)&_ZN6S928C24v244Ev,
+  (VTBL_ENTRY)&_ZN6S928C24v245Ev,
+  (VTBL_ENTRY)&_ZN6S928C24v246Ev,
+  (VTBL_ENTRY)&_ZN6S928C24v247Ev,
+  (VTBL_ENTRY)&_ZN6S928C24v248Ev,
+  (VTBL_ENTRY)&_ZN6S928C24v249Ev,
+  (VTBL_ENTRY)&_ZN6S928C24v250Ev,
+};
+extern VTBL_ENTRY _ZTI6S928C2[];
+extern  VTBL_ENTRY _ZTV6S928C2[];
+Class_Descriptor cd_S928C2 = {  "S928C2", // class name
+  bases_S928C2, 3,
+  &(vtc_S928C2[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(1344,1072), // object size
+  NSPAIRA(_ZTI6S928C2),ABISELECT(24,12), //typeinfo_var
+  NSPAIRA(_ZTV6S928C2),200, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  3, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S928C50  {
+  virtual  ~S928C50(); // _ZN7S928C50D1Ev
+  virtual void  v251(); // _ZN7S928C504v251Ev
+  virtual void  v252(); // _ZN7S928C504v252Ev
+  virtual void  v253(); // _ZN7S928C504v253Ev
+  virtual void  v254(); // _ZN7S928C504v254Ev
+  S928C50(); // tgen
+};
+//SIG(-1 S928C50) C1{ vd v1 v2 v3 v4}
+
+
+ S928C50 ::~S928C50(){ note_dtor("S928C50", this);}
+void  S928C50 ::v251(){vfunc_called(this, "_ZN7S928C504v251Ev");}
+void  S928C50 ::v252(){vfunc_called(this, "_ZN7S928C504v252Ev");}
+void  S928C50 ::v253(){vfunc_called(this, "_ZN7S928C504v253Ev");}
+void  S928C50 ::v254(){vfunc_called(this, "_ZN7S928C504v254Ev");}
+S928C50 ::S928C50(){ note_ctor("S928C50", this);} // tgen
+
+static void Test_S928C50()
+{
+  extern Class_Descriptor cd_S928C50;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[2];
+    init_test(&cd_S928C50, buf);
+    S928C50 *dp, &lv = *(dp=new (buf) S928C50());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(8,4), "sizeof(S928C50)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S928C50)");
+    test_class_info(&lv, &cd_S928C50);
+    dp->~S928C50();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS928C50(Test_S928C50, "S928C50", ABISELECT(8,4));
+
+#else // __cplusplus
+
+extern void _ZN7S928C50C1Ev();
+extern void _ZN7S928C50D1Ev();
+Name_Map name_map_S928C50[] = {
+  NSPAIR(_ZN7S928C50C1Ev),
+  NSPAIR(_ZN7S928C50D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI7S928C50[];
+extern void _ZN7S928C50D1Ev();
+extern void _ZN7S928C50D0Ev();
+extern void _ZN7S928C504v251Ev();
+extern void _ZN7S928C504v252Ev();
+extern void _ZN7S928C504v253Ev();
+extern void _ZN7S928C504v254Ev();
+static  VTBL_ENTRY vtc_S928C50[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI7S928C50[0]),
+  (VTBL_ENTRY)&_ZN7S928C50D1Ev,
+  (VTBL_ENTRY)&_ZN7S928C50D0Ev,
+  (VTBL_ENTRY)&_ZN7S928C504v251Ev,
+  (VTBL_ENTRY)&_ZN7S928C504v252Ev,
+  (VTBL_ENTRY)&_ZN7S928C504v253Ev,
+  (VTBL_ENTRY)&_ZN7S928C504v254Ev,
+};
+extern VTBL_ENTRY _ZTI7S928C50[];
+extern  VTBL_ENTRY _ZTV7S928C50[];
+Class_Descriptor cd_S928C50 = {  "S928C50", // class name
+  0,0,//no base classes
+  &(vtc_S928C50[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(8,4), // object size
+  NSPAIRA(_ZTI7S928C50),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV7S928C50),8, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  0, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S928C51  {
+  virtual  ~S928C51(); // _ZN7S928C51D1Ev
+  virtual void  v255(); // _ZN7S928C514v255Ev
+  virtual void  v256(); // _ZN7S928C514v256Ev
+  virtual void  v257(); // _ZN7S928C514v257Ev
+  virtual void  v258(); // _ZN7S928C514v258Ev
+  virtual void  v259(); // _ZN7S928C514v259Ev
+  virtual void  v260(); // _ZN7S928C514v260Ev
+  S928C51(); // tgen
+};
+//SIG(-1 S928C51) C1{ vd v1 v2 v3 v4 v5 v6}
+
+
+ S928C51 ::~S928C51(){ note_dtor("S928C51", this);}
+void  S928C51 ::v255(){vfunc_called(this, "_ZN7S928C514v255Ev");}
+void  S928C51 ::v256(){vfunc_called(this, "_ZN7S928C514v256Ev");}
+void  S928C51 ::v257(){vfunc_called(this, "_ZN7S928C514v257Ev");}
+void  S928C51 ::v258(){vfunc_called(this, "_ZN7S928C514v258Ev");}
+void  S928C51 ::v259(){vfunc_called(this, "_ZN7S928C514v259Ev");}
+void  S928C51 ::v260(){vfunc_called(this, "_ZN7S928C514v260Ev");}
+S928C51 ::S928C51(){ note_ctor("S928C51", this);} // tgen
+
+static void Test_S928C51()
+{
+  extern Class_Descriptor cd_S928C51;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[2];
+    init_test(&cd_S928C51, buf);
+    S928C51 *dp, &lv = *(dp=new (buf) S928C51());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(8,4), "sizeof(S928C51)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S928C51)");
+    test_class_info(&lv, &cd_S928C51);
+    dp->~S928C51();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS928C51(Test_S928C51, "S928C51", ABISELECT(8,4));
+
+#else // __cplusplus
+
+extern void _ZN7S928C51C1Ev();
+extern void _ZN7S928C51D1Ev();
+Name_Map name_map_S928C51[] = {
+  NSPAIR(_ZN7S928C51C1Ev),
+  NSPAIR(_ZN7S928C51D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI7S928C51[];
+extern void _ZN7S928C51D1Ev();
+extern void _ZN7S928C51D0Ev();
+extern void _ZN7S928C514v255Ev();
+extern void _ZN7S928C514v256Ev();
+extern void _ZN7S928C514v257Ev();
+extern void _ZN7S928C514v258Ev();
+extern void _ZN7S928C514v259Ev();
+extern void _ZN7S928C514v260Ev();
+static  VTBL_ENTRY vtc_S928C51[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI7S928C51[0]),
+  (VTBL_ENTRY)&_ZN7S928C51D1Ev,
+  (VTBL_ENTRY)&_ZN7S928C51D0Ev,
+  (VTBL_ENTRY)&_ZN7S928C514v255Ev,
+  (VTBL_ENTRY)&_ZN7S928C514v256Ev,
+  (VTBL_ENTRY)&_ZN7S928C514v257Ev,
+  (VTBL_ENTRY)&_ZN7S928C514v258Ev,
+  (VTBL_ENTRY)&_ZN7S928C514v259Ev,
+  (VTBL_ENTRY)&_ZN7S928C514v260Ev,
+};
+extern VTBL_ENTRY _ZTI7S928C51[];
+extern  VTBL_ENTRY _ZTV7S928C51[];
+Class_Descriptor cd_S928C51 = {  "S928C51", // class name
+  0,0,//no base classes
+  &(vtc_S928C51[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(8,4), // object size
+  NSPAIRA(_ZTI7S928C51),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV7S928C51),10, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  0, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S928C52  {
+  virtual  ~S928C52(); // _ZN7S928C52D1Ev
+  virtual void  v261(); // _ZN7S928C524v261Ev
+  virtual void  v262(); // _ZN7S928C524v262Ev
+  virtual void  v263(); // _ZN7S928C524v263Ev
+  virtual void  v264(); // _ZN7S928C524v264Ev
+  virtual void  v265(); // _ZN7S928C524v265Ev
+  virtual void  v266(); // _ZN7S928C524v266Ev
+  virtual void  v267(); // _ZN7S928C524v267Ev
+  virtual void  v268(); // _ZN7S928C524v268Ev
+  S928C52(); // tgen
+};
+//SIG(-1 S928C52) C1{ vd v1 v2 v3 v4 v5 v6 v7 v8}
+
+
+ S928C52 ::~S928C52(){ note_dtor("S928C52", this);}
+void  S928C52 ::v261(){vfunc_called(this, "_ZN7S928C524v261Ev");}
+void  S928C52 ::v262(){vfunc_called(this, "_ZN7S928C524v262Ev");}
+void  S928C52 ::v263(){vfunc_called(this, "_ZN7S928C524v263Ev");}
+void  S928C52 ::v264(){vfunc_called(this, "_ZN7S928C524v264Ev");}
+void  S928C52 ::v265(){vfunc_called(this, "_ZN7S928C524v265Ev");}
+void  S928C52 ::v266(){vfunc_called(this, "_ZN7S928C524v266Ev");}
+void  S928C52 ::v267(){vfunc_called(this, "_ZN7S928C524v267Ev");}
+void  S928C52 ::v268(){vfunc_called(this, "_ZN7S928C524v268Ev");}
+S928C52 ::S928C52(){ note_ctor("S928C52", this);} // tgen
+
+static void Test_S928C52()
+{
+  extern Class_Descriptor cd_S928C52;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[2];
+    init_test(&cd_S928C52, buf);
+    S928C52 *dp, &lv = *(dp=new (buf) S928C52());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(8,4), "sizeof(S928C52)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S928C52)");
+    test_class_info(&lv, &cd_S928C52);
+    dp->~S928C52();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS928C52(Test_S928C52, "S928C52", ABISELECT(8,4));
+
+#else // __cplusplus
+
+extern void _ZN7S928C52C1Ev();
+extern void _ZN7S928C52D1Ev();
+Name_Map name_map_S928C52[] = {
+  NSPAIR(_ZN7S928C52C1Ev),
+  NSPAIR(_ZN7S928C52D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI7S928C52[];
+extern void _ZN7S928C52D1Ev();
+extern void _ZN7S928C52D0Ev();
+extern void _ZN7S928C524v261Ev();
+extern void _ZN7S928C524v262Ev();
+extern void _ZN7S928C524v263Ev();
+extern void _ZN7S928C524v264Ev();
+extern void _ZN7S928C524v265Ev();
+extern void _ZN7S928C524v266Ev();
+extern void _ZN7S928C524v267Ev();
+extern void _ZN7S928C524v268Ev();
+static  VTBL_ENTRY vtc_S928C52[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI7S928C52[0]),
+  (VTBL_ENTRY)&_ZN7S928C52D1Ev,
+  (VTBL_ENTRY)&_ZN7S928C52D0Ev,
+  (VTBL_ENTRY)&_ZN7S928C524v261Ev,
+  (VTBL_ENTRY)&_ZN7S928C524v262Ev,
+  (VTBL_ENTRY)&_ZN7S928C524v263Ev,
+  (VTBL_ENTRY)&_ZN7S928C524v264Ev,
+  (VTBL_ENTRY)&_ZN7S928C524v265Ev,
+  (VTBL_ENTRY)&_ZN7S928C524v266Ev,
+  (VTBL_ENTRY)&_ZN7S928C524v267Ev,
+  (VTBL_ENTRY)&_ZN7S928C524v268Ev,
+};
+extern VTBL_ENTRY _ZTI7S928C52[];
+extern  VTBL_ENTRY _ZTV7S928C52[];
+Class_Descriptor cd_S928C52 = {  "S928C52", // class name
+  0,0,//no base classes
+  &(vtc_S928C52[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(8,4), // object size
+  NSPAIRA(_ZTI7S928C52),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV7S928C52),12, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  0, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S928C56_nt  {
+  __tsi64 s928c56f0[4];
+};
+//SIG(-1 S928C56_nt) C1{ FL[4]}
+
+
+
+//skip512 S928C56_nt
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S928C55_nt  {
+  ::S928C56_nt s928c55f0;
+  void  mf928c55(); // _ZN10S928C55_nt8mf928c55Ev
+};
+//SIG(-1 S928C55_nt) C1{ m FC2{ FL[4]}}
+
+
+void  S928C55_nt ::mf928c55(){}
+
+//skip512 S928C55_nt
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S928C67  {
+  void *s928c67f0;
+  int s928c67f1[2];
+  ::S938C68 s928c67f2;
+  void  mf928c67(); // _ZN7S928C678mf928c67Ev
+};
+//SIG(-1 S928C67) C1{ m Fp Fi[2] FC2{ m}}
+
+
+void  S928C67 ::mf928c67(){}
+
+static void Test_S928C67()
+{
+  {
+    init_simple_test("S928C67");
+    S928C67 lv;
+    check2(sizeof(lv), ABISELECT(24,16), "sizeof(S928C67)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S928C67)");
+    check_field_offset(lv, s928c67f0, 0, "S928C67.s928c67f0");
+    check_field_offset(lv, s928c67f1, ABISELECT(8,4), "S928C67.s928c67f1");
+    check_field_offset(lv, s928c67f2, ABISELECT(16,12), "S928C67.s928c67f2");
+  }
+}
+static Arrange_To_Call_Me vS928C67(Test_S928C67, "S928C67", ABISELECT(24,16));
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S928C1  : S928C2 , S928C50 , S928C51 , S928C52 {
+  void *s928c1f0[7];
+  ::S927C38_nt s928c1f1;
+  ::S928C55_nt s928c1f2;
+  ::S927C57_nt s928c1f3;
+  void *s928c1f4;
+  int s928c1f5[2];
+  char s928c1f6[3];
+  ::S938C54 s928c1f7;
+  ::S938C56 s928c1f8;
+  char s928c1f9;
+  ::S938C40_nt s928c1f10;
+  int s928c1f11[3];
+  char s928c1f12;
+  int s928c1f13[3];
+  ::S938C60 s928c1f14;
+  char s928c1f15;
+  int s928c1f16[2];
+  ::S938C60 s928c1f17;
+  ::S938C64 s928c1f18;
+  ::S938C63 s928c1f19;
+  ::S927C38_nt s928c1f20;
+  char s928c1f21;
+  ::S938C40_nt s928c1f22;
+  ::S938C40_nt s928c1f23[8];
+  ::S927C66 s928c1f24;
+  char s928c1f25[2];
+  int s928c1f26;
+  void *s928c1f27;
+  ::S938C60 s928c1f28;
+  int s928c1f29;
+  char s928c1f30[2];
+  int s928c1f31[3];
+  ::S928C67 s928c1f32;
+  int s928c1f33;
+  ::S928C67 s928c1f34;
+  ::S928C67 s928c1f35;
+  char s928c1f36[2];
+  void *s928c1f37[2];
+  char s928c1f38;
+  ::S938C40_nt s928c1f39;
+  char s928c1f40;
+  ::S938C62_nt s928c1f41;
+  ::S938C62_nt s928c1f42;
+  __tsi64 s928c1f43;
+  void *s928c1f44[2];
+  ::S927C57_nt s928c1f45;
+  ::S927C57_nt s928c1f46;
+  ::S927C57_nt s928c1f47;
+  ::S927C57_nt s928c1f48;
+  void *s928c1f49;
+  int s928c1f50;
+  void *s928c1f51[2];
+  ::S938C23 s928c1f52;
+  ::S938C7_nt s928c1f53;
+  ::S938C7_nt s928c1f54;
+  ::S938C7_nt s928c1f55;
+  ::S938C40_nt s928c1f56;
+  ::S927C78 s928c1f57;
+  ::S927C79_nt s928c1f58;
+  ::S927C82_nt s928c1f59;
+  int s928c1f60;
+  virtual  ~S928C1(); // _ZN6S928C1D1Ev
+  virtual void  v1(); // _ZN6S928C12v1Ev
+  virtual void  v2(); // _ZN6S928C12v2Ev
+  virtual void  v3(); // _ZN6S928C12v3Ev
+  virtual void  v4(); // _ZN6S928C12v4Ev
+  virtual void  v5(); // _ZN6S928C12v5Ev
+  virtual void  v6(); // _ZN6S928C12v6Ev
+  virtual void  v7(); // _ZN6S928C12v7Ev
+  virtual void  v8(); // _ZN6S928C12v8Ev
+  virtual void  v9(); // _ZN6S928C12v9Ev
+  virtual void  v10(); // _ZN6S928C13v10Ev
+  virtual void  v11(); // _ZN6S928C13v11Ev
+  virtual void  v12(); // _ZN6S928C13v12Ev
+  virtual void  v13(); // _ZN6S928C13v13Ev
+  virtual void  v14(); // _ZN6S928C13v14Ev
+  virtual void  v15(); // _ZN6S928C13v15Ev
+  virtual void  v16(); // _ZN6S928C13v16Ev
+  virtual void  v17(); // _ZN6S928C13v17Ev
+  virtual void  v18(); // _ZN6S928C13v18Ev
+  virtual void  v19(); // _ZN6S928C13v19Ev
+  virtual void  v20(); // _ZN6S928C13v20Ev
+  virtual void  v21(); // _ZN6S928C13v21Ev
+  virtual void  v22(); // _ZN6S928C13v22Ev
+  virtual void  v23(); // _ZN6S928C13v23Ev
+  virtual void  v24(); // _ZN6S928C13v24Ev
+  virtual void  v25(); // _ZN6S928C13v25Ev
+  virtual void  v26(); // _ZN6S928C13v26Ev
+  virtual void  v27(); // _ZN6S928C13v27Ev
+  virtual void  v28(); // _ZN6S928C13v28Ev
+  virtual void  v29(); // _ZN6S928C13v29Ev
+  virtual void  v30(); // _ZN6S928C13v30Ev
+  virtual void  v31(); // _ZN6S928C13v31Ev
+  virtual void  v32(); // _ZN6S928C13v32Ev
+  virtual void  v33(); // _ZN6S928C13v33Ev
+  virtual void  v34(); // _ZN6S928C13v34Ev
+  virtual void  v35(); // _ZN6S928C13v35Ev
+  virtual void  v36(); // _ZN6S928C13v36Ev
+  virtual void  v37(); // _ZN6S928C13v37Ev
+  virtual void  v38(); // _ZN6S928C13v38Ev
+  virtual void  v39(); // _ZN6S928C13v39Ev
+  virtual void  v40(); // _ZN6S928C13v40Ev
+  virtual void  v41(); // _ZN6S928C13v41Ev
+  virtual void  v42(); // _ZN6S928C13v42Ev
+  virtual void  v43(); // _ZN6S928C13v43Ev
+  virtual void  v44(); // _ZN6S928C13v44Ev
+  virtual void  v45(); // _ZN6S928C13v45Ev
+  virtual void  v46(); // _ZN6S928C13v46Ev
+  virtual void  v47(); // _ZN6S928C13v47Ev
+  virtual void  v48(); // _ZN6S928C13v48Ev
+  virtual void  v49(); // _ZN6S928C13v49Ev
+  virtual void  v50(); // _ZN6S928C13v50Ev
+  virtual void  v51(); // _ZN6S928C13v51Ev
+  virtual void  v52(); // _ZN6S928C13v52Ev
+  virtual void  v53(); // _ZN6S928C13v53Ev
+  virtual void  v54(); // _ZN6S928C13v54Ev
+  S928C1(); // tgen
+};
+//SIG(1 S928C1) C1{ BC2{ BC3{ BC4{ BC5{ vd v55 v56 v57 v58 v59 v60 v61 v62 v63 v64 v65 v66 v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 Fp Fi FC6{ BC7{ m Fp Fi FC8{ m} FC9{ m}}} FC10{ BC11{ m Fp Fi FC12{ m} FC13{ m}}} Fi} vd v78 v79 v80 v81 v82 v83 v84 v85 v86 v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 v102 v103 v104 v105 v106 v107 v108 v109 v110 v111 v112 v113 v114 v115 v116 v117 v118 v119 v120 v121 v122 v123 v124 v125 v126 v127 v128 v129 v130 v131 v132 v133 v134 v135 v136 v137 v138 v139 v140 v141 v142 v143 v144 v145 v146 v147 v148 v149 v150 v151 v152 v153 v154 v155 FC14{ m FC15{ m FL[2]}} FC14 FC14 FC16{ m FC15} FC17{ m FC16} FC18{ m FC16 FC16 FC16 FC16} FC18 FC14 FC14 FC14 Fp FC17 FC19{ m FC20{ m Fp Fi FC21{ m}} Fi} Fi Fp[7] FC22{ m Fp Fi FC9 FC23{ m}} Fi:8 Fi:8 Fi:16 Fi:16 Fi:8 Fi:8 Fi:8 Fi:32 Fi:8 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 F!
 c:1 Fc:1 
 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fi[7] Fp[6] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 FC24{ BC25{ m Fp Fi FC26{ m} FC26}} Fp[4] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:16 Fi:16 Fi:16 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:8 Fi:8 Fi:8 Fp[7] FC27{ m Fp Fi[2] FC8} Fp[2] Fi:16 Fi:16 FC28{ BC7} FC29{ m Fp Fi[2] FC13} FC30{ m Fp Fi[2] FC8} Fp[2] Fi:24 Fi:8 Fp} vd v156 v157 v158 v159 v160 v161 v162 v163 v164 v165 v166 v167 v168 v169 v170 v171 v172 v173 v174 v175 v176 v177 v178 v179 v180 v181 v182 v183 v184 v185 v186 v187 v188 v189 v190 v191 v192 v193 v194 v195 v196 v197 v198 v199 v200 v201 v202 v203 v204 v205 v206 v207 v208 v209 v210 v211 v212 v213 v214 v215 v216 v217 v218 v219 v220 v221 v222 v223 v224 v225 v226 v227 v228 v229 v230 v231 v232 v233 v234 v235 v236 v237 v238 v239 v240 v241 Fi[2] FC31{ m FC32{ m FL}} Fp[4] FC14 FC14 FC14 FC31 FC14 FC31 FC31 FC14 FC14 FC14 FC31 FC14 FC14 Fi[7] FC17 FC31 FC31 FC31 Fp Fi Fp[4] Fc[2!
 ] FC7 Fi[
 8] Fc[6] Fi Fc Fi[2] Fc[2]} vd v242 v243 v244 v245 v246 v247 v248 v249 v250 FC33{ BC11} FC34{ m Fp Fi[2] FC9} Fc[2] FC31 FC31 FC14} BC35{ vd v251 v252 v253 v254} BC36{ vd v255 v256 v257 v258 v259 v260} BC37{ vd v261 v262 v263 v264 v265 v266 v267 v268} vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53 v54 Fp[7] FC38{ m Fp Fi[2] FC23} FC39{ m FC40{ FL[4]}} FC41{ m Fp} Fp Fi[2] Fc[3] FC42{ vd m FC43{ Fi Fp[7] Fs Fc[10]} Fp Fc[2] FC44{ m FC20 FC20}} FC44 Fc FC31 Fi[3] Fc Fi[3] FC14 Fc Fi[2] FC14 FC45{ FC44 FC44 FC46{ m Fs[6] Fi[2] Fc Fs} FC46 FC44} FC47{ m Fp Fi[5] FC44 FC44 FC44 Fp} FC38 Fc FC31 FC31[8] FC48{ m FC44 Fc} Fc[2] Fi Fp FC14 Fi Fc[2] Fi[3] FC49{ m Fp Fi[2] FC23} Fi FC49 FC49 Fc[2] Fp[2] Fc FC31 Fc FC50{ m Fp[2]} FC50 FL Fp[2] FC41 FC41 FC41 FC41 Fp Fi Fp[2] FC51{ m Fp Fi FC21 FC12} FC25 FC25 FC25 FC31 FC52{ vd m!
  FC20 Fi 
 Fc FC20} FC53{ m Fp Fi FC13 FC12} FC54{ m Fc[2]} Fi}
+
+
+ S928C1 ::~S928C1(){ note_dtor("S928C1", this);}
+void  S928C1 ::v1(){vfunc_called(this, "_ZN6S928C12v1Ev");}
+void  S928C1 ::v2(){vfunc_called(this, "_ZN6S928C12v2Ev");}
+void  S928C1 ::v3(){vfunc_called(this, "_ZN6S928C12v3Ev");}
+void  S928C1 ::v4(){vfunc_called(this, "_ZN6S928C12v4Ev");}
+void  S928C1 ::v5(){vfunc_called(this, "_ZN6S928C12v5Ev");}
+void  S928C1 ::v6(){vfunc_called(this, "_ZN6S928C12v6Ev");}
+void  S928C1 ::v7(){vfunc_called(this, "_ZN6S928C12v7Ev");}
+void  S928C1 ::v8(){vfunc_called(this, "_ZN6S928C12v8Ev");}
+void  S928C1 ::v9(){vfunc_called(this, "_ZN6S928C12v9Ev");}
+void  S928C1 ::v10(){vfunc_called(this, "_ZN6S928C13v10Ev");}
+void  S928C1 ::v11(){vfunc_called(this, "_ZN6S928C13v11Ev");}
+void  S928C1 ::v12(){vfunc_called(this, "_ZN6S928C13v12Ev");}
+void  S928C1 ::v13(){vfunc_called(this, "_ZN6S928C13v13Ev");}
+void  S928C1 ::v14(){vfunc_called(this, "_ZN6S928C13v14Ev");}
+void  S928C1 ::v15(){vfunc_called(this, "_ZN6S928C13v15Ev");}
+void  S928C1 ::v16(){vfunc_called(this, "_ZN6S928C13v16Ev");}
+void  S928C1 ::v17(){vfunc_called(this, "_ZN6S928C13v17Ev");}
+void  S928C1 ::v18(){vfunc_called(this, "_ZN6S928C13v18Ev");}
+void  S928C1 ::v19(){vfunc_called(this, "_ZN6S928C13v19Ev");}
+void  S928C1 ::v20(){vfunc_called(this, "_ZN6S928C13v20Ev");}
+void  S928C1 ::v21(){vfunc_called(this, "_ZN6S928C13v21Ev");}
+void  S928C1 ::v22(){vfunc_called(this, "_ZN6S928C13v22Ev");}
+void  S928C1 ::v23(){vfunc_called(this, "_ZN6S928C13v23Ev");}
+void  S928C1 ::v24(){vfunc_called(this, "_ZN6S928C13v24Ev");}
+void  S928C1 ::v25(){vfunc_called(this, "_ZN6S928C13v25Ev");}
+void  S928C1 ::v26(){vfunc_called(this, "_ZN6S928C13v26Ev");}
+void  S928C1 ::v27(){vfunc_called(this, "_ZN6S928C13v27Ev");}
+void  S928C1 ::v28(){vfunc_called(this, "_ZN6S928C13v28Ev");}
+void  S928C1 ::v29(){vfunc_called(this, "_ZN6S928C13v29Ev");}
+void  S928C1 ::v30(){vfunc_called(this, "_ZN6S928C13v30Ev");}
+void  S928C1 ::v31(){vfunc_called(this, "_ZN6S928C13v31Ev");}
+void  S928C1 ::v32(){vfunc_called(this, "_ZN6S928C13v32Ev");}
+void  S928C1 ::v33(){vfunc_called(this, "_ZN6S928C13v33Ev");}
+void  S928C1 ::v34(){vfunc_called(this, "_ZN6S928C13v34Ev");}
+void  S928C1 ::v35(){vfunc_called(this, "_ZN6S928C13v35Ev");}
+void  S928C1 ::v36(){vfunc_called(this, "_ZN6S928C13v36Ev");}
+void  S928C1 ::v37(){vfunc_called(this, "_ZN6S928C13v37Ev");}
+void  S928C1 ::v38(){vfunc_called(this, "_ZN6S928C13v38Ev");}
+void  S928C1 ::v39(){vfunc_called(this, "_ZN6S928C13v39Ev");}
+void  S928C1 ::v40(){vfunc_called(this, "_ZN6S928C13v40Ev");}
+void  S928C1 ::v41(){vfunc_called(this, "_ZN6S928C13v41Ev");}
+void  S928C1 ::v42(){vfunc_called(this, "_ZN6S928C13v42Ev");}
+void  S928C1 ::v43(){vfunc_called(this, "_ZN6S928C13v43Ev");}
+void  S928C1 ::v44(){vfunc_called(this, "_ZN6S928C13v44Ev");}
+void  S928C1 ::v45(){vfunc_called(this, "_ZN6S928C13v45Ev");}
+void  S928C1 ::v46(){vfunc_called(this, "_ZN6S928C13v46Ev");}
+void  S928C1 ::v47(){vfunc_called(this, "_ZN6S928C13v47Ev");}
+void  S928C1 ::v48(){vfunc_called(this, "_ZN6S928C13v48Ev");}
+void  S928C1 ::v49(){vfunc_called(this, "_ZN6S928C13v49Ev");}
+void  S928C1 ::v50(){vfunc_called(this, "_ZN6S928C13v50Ev");}
+void  S928C1 ::v51(){vfunc_called(this, "_ZN6S928C13v51Ev");}
+void  S928C1 ::v52(){vfunc_called(this, "_ZN6S928C13v52Ev");}
+void  S928C1 ::v53(){vfunc_called(this, "_ZN6S928C13v53Ev");}
+void  S928C1 ::v54(){vfunc_called(this, "_ZN6S928C13v54Ev");}
+S928C1 ::S928C1(){ note_ctor("S928C1", this);} // tgen
+
+static void Test_S928C1()
+{
+  extern Class_Descriptor cd_S928C1;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(332,504)];
+    init_test(&cd_S928C1, buf);
+    S928C1 *dp, &lv = *(dp=new (buf) S928C1());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(2648,2012), "sizeof(S928C1)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S928C1)");
+    check_base_class_offset(lv, (S928C5*)(S928C4*)(S928C3*)(S928C2*), 0, "S928C1");
+    check_base_class_offset(lv, (S928C4*)(S928C3*)(S928C2*), 0, "S928C1");
+    check_base_class_offset(lv, (S928C3*)(S928C2*), 0, "S928C1");
+    check_base_class_offset(lv, (S928C2*), 0, "S928C1");
+    check_base_class_offset(lv, (S928C50*), ABISELECT(1344,1072), "S928C1");
+    check_base_class_offset(lv, (S928C51*), ABISELECT(1352,1076), "S928C1");
+    check_base_class_offset(lv, (S928C52*), ABISELECT(1360,1080), "S928C1");
+    check_field_offset(lv, s928c1f0, ABISELECT(1368,1084), "S928C1.s928c1f0");
+    check_field_offset(lv, s928c1f1, ABISELECT(1424,1112), "S928C1.s928c1f1");
+    check_field_offset(lv, s928c1f2, ABISELECT(1448,1128), "S928C1.s928c1f2");
+    check_field_offset(lv, s928c1f3, ABISELECT(1480,1160), "S928C1.s928c1f3");
+    check_field_offset(lv, s928c1f4, ABISELECT(1488,1164), "S928C1.s928c1f4");
+    check_field_offset(lv, s928c1f5, ABISELECT(1496,1168), "S928C1.s928c1f5");
+    check_field_offset(lv, s928c1f6, ABISELECT(1504,1176), "S928C1.s928c1f6");
+    check_field_offset(lv, s928c1f7, ABISELECT(1512,1180), "S928C1.s928c1f7");
+    check_field_offset(lv, s928c1f8, ABISELECT(1648,1260), "S928C1.s928c1f8");
+    check_field_offset(lv, s928c1f9, ABISELECT(1680,1284), "S928C1.s928c1f9");
+    check_field_offset(lv, s928c1f10, ABISELECT(1688,1288), "S928C1.s928c1f10");
+    check_field_offset(lv, s928c1f11, ABISELECT(1696,1296), "S928C1.s928c1f11");
+    check_field_offset(lv, s928c1f12, ABISELECT(1708,1308), "S928C1.s928c1f12");
+    check_field_offset(lv, s928c1f13, ABISELECT(1712,1312), "S928C1.s928c1f13");
+    check_field_offset(lv, s928c1f14, ABISELECT(1728,1324), "S928C1.s928c1f14");
+    check_field_offset(lv, s928c1f15, ABISELECT(1744,1340), "S928C1.s928c1f15");
+    check_field_offset(lv, s928c1f16, ABISELECT(1748,1344), "S928C1.s928c1f16");
+    check_field_offset(lv, s928c1f17, ABISELECT(1760,1352), "S928C1.s928c1f17");
+    check_field_offset(lv, s928c1f18, ABISELECT(1776,1368), "S928C1.s928c1f18");
+    check_field_offset(lv, s928c1f19, ABISELECT(1920,1488), "S928C1.s928c1f19");
+    check_field_offset(lv, s928c1f20, ABISELECT(2056,1588), "S928C1.s928c1f20");
+    check_field_offset(lv, s928c1f21, ABISELECT(2080,1604), "S928C1.s928c1f21");
+    check_field_offset(lv, s928c1f22, ABISELECT(2088,1608), "S928C1.s928c1f22");
+    check_field_offset(lv, s928c1f23, ABISELECT(2096,1616), "S928C1.s928c1f23");
+    check_field_offset(lv, s928c1f24, ABISELECT(2160,1680), "S928C1.s928c1f24");
+    check_field_offset(lv, s928c1f25, ABISELECT(2200,1708), "S928C1.s928c1f25");
+    check_field_offset(lv, s928c1f26, ABISELECT(2204,1712), "S928C1.s928c1f26");
+    check_field_offset(lv, s928c1f27, ABISELECT(2208,1716), "S928C1.s928c1f27");
+    check_field_offset(lv, s928c1f28, ABISELECT(2216,1720), "S928C1.s928c1f28");
+    check_field_offset(lv, s928c1f29, ABISELECT(2232,1736), "S928C1.s928c1f29");
+    check_field_offset(lv, s928c1f30, ABISELECT(2236,1740), "S928C1.s928c1f30");
+    check_field_offset(lv, s928c1f31, ABISELECT(2240,1744), "S928C1.s928c1f31");
+    check_field_offset(lv, s928c1f32, ABISELECT(2256,1756), "S928C1.s928c1f32");
+    check_field_offset(lv, s928c1f33, ABISELECT(2280,1772), "S928C1.s928c1f33");
+    check_field_offset(lv, s928c1f34, ABISELECT(2288,1776), "S928C1.s928c1f34");
+    check_field_offset(lv, s928c1f35, ABISELECT(2312,1792), "S928C1.s928c1f35");
+    check_field_offset(lv, s928c1f36, ABISELECT(2336,1808), "S928C1.s928c1f36");
+    check_field_offset(lv, s928c1f37, ABISELECT(2344,1812), "S928C1.s928c1f37");
+    check_field_offset(lv, s928c1f38, ABISELECT(2360,1820), "S928C1.s928c1f38");
+    check_field_offset(lv, s928c1f39, ABISELECT(2368,1824), "S928C1.s928c1f39");
+    check_field_offset(lv, s928c1f40, ABISELECT(2376,1832), "S928C1.s928c1f40");
+    check_field_offset(lv, s928c1f41, ABISELECT(2384,1836), "S928C1.s928c1f41");
+    check_field_offset(lv, s928c1f42, ABISELECT(2400,1844), "S928C1.s928c1f42");
+    check_field_offset(lv, s928c1f43, ABISELECT(2416,1852), "S928C1.s928c1f43");
+    check_field_offset(lv, s928c1f44, ABISELECT(2424,1860), "S928C1.s928c1f44");
+    check_field_offset(lv, s928c1f45, ABISELECT(2440,1868), "S928C1.s928c1f45");
+    check_field_offset(lv, s928c1f46, ABISELECT(2448,1872), "S928C1.s928c1f46");
+    check_field_offset(lv, s928c1f47, ABISELECT(2456,1876), "S928C1.s928c1f47");
+    check_field_offset(lv, s928c1f48, ABISELECT(2464,1880), "S928C1.s928c1f48");
+    check_field_offset(lv, s928c1f49, ABISELECT(2472,1884), "S928C1.s928c1f49");
+    check_field_offset(lv, s928c1f50, ABISELECT(2480,1888), "S928C1.s928c1f50");
+    check_field_offset(lv, s928c1f51, ABISELECT(2488,1892), "S928C1.s928c1f51");
+    check_field_offset(lv, s928c1f52, ABISELECT(2504,1900), "S928C1.s928c1f52");
+    check_field_offset(lv, s928c1f53, ABISELECT(2520,1912), "S928C1.s928c1f53");
+    check_field_offset(lv, s928c1f54, ABISELECT(2536,1924), "S928C1.s928c1f54");
+    check_field_offset(lv, s928c1f55, ABISELECT(2552,1936), "S928C1.s928c1f55");
+    check_field_offset(lv, s928c1f56, ABISELECT(2568,1948), "S928C1.s928c1f56");
+    check_field_offset(lv, s928c1f57, ABISELECT(2576,1956), "S928C1.s928c1f57");
+    check_field_offset(lv, s928c1f58, ABISELECT(2624,1992), "S928C1.s928c1f58");
+    check_field_offset(lv, s928c1f59, ABISELECT(2640,2004), "S928C1.s928c1f59");
+    check_field_offset(lv, s928c1f60, ABISELECT(2644,2008), "S928C1.s928c1f60");
+    test_class_info(&lv, &cd_S928C1);
+    dp->~S928C1();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS928C1(Test_S928C1, "S928C1", ABISELECT(2648,2012));
+
+#else // __cplusplus
+
+extern void _ZN6S928C1C1Ev();
+extern void _ZN6S928C1D1Ev();
+Name_Map name_map_S928C1[] = {
+  NSPAIR(_ZN6S928C1C1Ev),
+  NSPAIR(_ZN6S928C1D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S928C5;
+extern VTBL_ENTRY _ZTI6S928C5[];
+extern  VTBL_ENTRY _ZTV6S928C5[];
+extern Class_Descriptor cd_S928C4;
+extern VTBL_ENTRY _ZTI6S928C4[];
+extern  VTBL_ENTRY _ZTV6S928C4[];
+extern Class_Descriptor cd_S928C3;
+extern VTBL_ENTRY _ZTI6S928C3[];
+extern  VTBL_ENTRY _ZTV6S928C3[];
+extern Class_Descriptor cd_S928C2;
+extern VTBL_ENTRY _ZTI6S928C2[];
+extern  VTBL_ENTRY _ZTV6S928C2[];
+extern Class_Descriptor cd_S928C50;
+extern VTBL_ENTRY _ZTI7S928C50[];
+extern  VTBL_ENTRY _ZTV7S928C50[];
+extern Class_Descriptor cd_S928C51;
+extern VTBL_ENTRY _ZTI7S928C51[];
+extern  VTBL_ENTRY _ZTV7S928C51[];
+extern Class_Descriptor cd_S928C52;
+extern VTBL_ENTRY _ZTI7S928C52[];
+extern  VTBL_ENTRY _ZTV7S928C52[];
+static Base_Class bases_S928C1[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S928C5,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    1, //immediately_derived
+  0, 0},
+  {&cd_S928C4,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    2, //init_seq
+    2, //immediately_derived
+  0, 0},
+  {&cd_S928C3,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    3, //init_seq
+    3, //immediately_derived
+  0, 0},
+  {&cd_S928C2,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    4, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {&cd_S928C50,    ABISELECT(1344,1072), //bcp->offset
+    254, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    5, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {&cd_S928C51,    ABISELECT(1352,1076), //bcp->offset
+    262, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    6, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {&cd_S928C52,    ABISELECT(1360,1080), //bcp->offset
+    272, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    7, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI6S928C1[];
+extern void _ZN6S928C1D1Ev();
+extern void _ZN6S928C1D0Ev();
+extern void _ZN6S928C53v55Ev();
+extern void _ZN6S928C53v56Ev();
+extern void _ZN6S928C53v57Ev();
+extern void _ZN6S928C53v58Ev();
+extern void _ZN6S928C53v59Ev();
+extern void _ZN6S928C53v60Ev();
+extern void _ZN6S928C53v61Ev();
+extern void _ZN6S928C53v62Ev();
+extern void _ZN6S928C53v63Ev();
+extern void _ZN6S928C53v64Ev();
+extern void _ZN6S928C53v65Ev();
+extern void _ZN6S928C53v66Ev();
+extern void _ZN6S928C53v67Ev();
+extern void _ZN6S928C53v68Ev();
+extern void _ZN6S928C53v69Ev();
+extern void _ZN6S928C53v70Ev();
+extern void _ZN6S928C53v71Ev();
+extern void _ZN6S928C53v72Ev();
+extern void _ZN6S928C53v73Ev();
+extern void _ZN6S928C53v74Ev();
+extern void _ZN6S928C53v75Ev();
+extern void _ZN6S928C53v76Ev();
+extern void _ZN6S928C53v77Ev();
+extern void _ZN6S928C43v78Ev();
+extern void _ZN6S928C43v79Ev();
+extern void _ZN6S928C43v80Ev();
+extern void _ZN6S928C43v81Ev();
+extern void _ZN6S928C43v82Ev();
+extern void _ZN6S928C43v83Ev();
+extern void _ZN6S928C43v84Ev();
+extern void _ZN6S928C43v85Ev();
+extern void _ZN6S928C43v86Ev();
+extern void _ZN6S928C43v87Ev();
+extern void _ZN6S928C43v88Ev();
+extern void _ZN6S928C43v89Ev();
+extern void _ZN6S928C43v90Ev();
+extern void _ZN6S928C43v91Ev();
+extern void _ZN6S928C43v92Ev();
+extern void _ZN6S928C43v93Ev();
+extern void _ZN6S928C43v94Ev();
+extern void _ZN6S928C43v95Ev();
+extern void _ZN6S928C43v96Ev();
+extern void _ZN6S928C43v97Ev();
+extern void _ZN6S928C43v98Ev();
+extern void _ZN6S928C43v99Ev();
+extern void _ZN6S928C44v100Ev();
+extern void _ZN6S928C44v101Ev();
+extern void _ZN6S928C44v102Ev();
+extern void _ZN6S928C44v103Ev();
+extern void _ZN6S928C44v104Ev();
+extern void _ZN6S928C44v105Ev();
+extern void _ZN6S928C44v106Ev();
+extern void _ZN6S928C44v107Ev();
+extern void _ZN6S928C44v108Ev();
+extern void _ZN6S928C44v109Ev();
+extern void _ZN6S928C44v110Ev();
+extern void _ZN6S928C44v111Ev();
+extern void _ZN6S928C44v112Ev();
+extern void _ZN6S928C44v113Ev();
+extern void _ZN6S928C44v114Ev();
+extern void _ZN6S928C44v115Ev();
+extern void _ZN6S928C44v116Ev();
+extern void _ZN6S928C44v117Ev();
+extern void _ZN6S928C44v118Ev();
+extern void _ZN6S928C44v119Ev();
+extern void _ZN6S928C44v120Ev();
+extern void _ZN6S928C44v121Ev();
+extern void _ZN6S928C44v122Ev();
+extern void _ZN6S928C44v123Ev();
+extern void _ZN6S928C44v124Ev();
+extern void _ZN6S928C44v125Ev();
+extern void _ZN6S928C44v126Ev();
+extern void _ZN6S928C44v127Ev();
+extern void _ZN6S928C44v128Ev();
+extern void _ZN6S928C44v129Ev();
+extern void _ZN6S928C44v130Ev();
+extern void _ZN6S928C44v131Ev();
+extern void _ZN6S928C44v132Ev();
+extern void _ZN6S928C44v133Ev();
+extern void _ZN6S928C44v134Ev();
+extern void _ZN6S928C44v135Ev();
+extern void _ZN6S928C44v136Ev();
+extern void _ZN6S928C44v137Ev();
+extern void _ZN6S928C44v138Ev();
+extern void _ZN6S928C44v139Ev();
+extern void _ZN6S928C44v140Ev();
+extern void _ZN6S928C44v141Ev();
+extern void _ZN6S928C44v142Ev();
+extern void _ZN6S928C44v143Ev();
+extern void _ZN6S928C44v144Ev();
+extern void _ZN6S928C44v145Ev();
+extern void _ZN6S928C44v146Ev();
+extern void _ZN6S928C44v147Ev();
+extern void _ZN6S928C44v148Ev();
+extern void _ZN6S928C44v149Ev();
+extern void _ZN6S928C44v150Ev();
+extern void _ZN6S928C44v151Ev();
+extern void _ZN6S928C44v152Ev();
+extern void _ZN6S928C44v153Ev();
+extern void _ZN6S928C44v154Ev();
+extern void _ZN6S928C44v155Ev();
+extern void _ZN6S928C34v156Ev();
+extern void _ZN6S928C34v157Ev();
+extern void _ZN6S928C34v158Ev();
+extern void _ZN6S928C34v159Ev();
+extern void _ZN6S928C34v160Ev();
+extern void _ZN6S928C34v161Ev();
+extern void _ZN6S928C34v162Ev();
+extern void _ZN6S928C34v163Ev();
+extern void _ZN6S928C34v164Ev();
+extern void _ZN6S928C34v165Ev();
+extern void _ZN6S928C34v166Ev();
+extern void _ZN6S928C34v167Ev();
+extern void _ZN6S928C34v168Ev();
+extern void _ZN6S928C34v169Ev();
+extern void _ZN6S928C34v170Ev();
+extern void _ZN6S928C34v171Ev();
+extern void _ZN6S928C34v172Ev();
+extern void _ZN6S928C34v173Ev();
+extern void _ZN6S928C34v174Ev();
+extern void _ZN6S928C34v175Ev();
+extern void _ZN6S928C34v176Ev();
+extern void _ZN6S928C34v177Ev();
+extern void _ZN6S928C34v178Ev();
+extern void _ZN6S928C34v179Ev();
+extern void _ZN6S928C34v180Ev();
+extern void _ZN6S928C34v181Ev();
+extern void _ZN6S928C34v182Ev();
+extern void _ZN6S928C34v183Ev();
+extern void _ZN6S928C34v184Ev();
+extern void _ZN6S928C34v185Ev();
+extern void _ZN6S928C34v186Ev();
+extern void _ZN6S928C34v187Ev();
+extern void _ZN6S928C34v188Ev();
+extern void _ZN6S928C34v189Ev();
+extern void _ZN6S928C34v190Ev();
+extern void _ZN6S928C34v191Ev();
+extern void _ZN6S928C34v192Ev();
+extern void _ZN6S928C34v193Ev();
+extern void _ZN6S928C34v194Ev();
+extern void _ZN6S928C34v195Ev();
+extern void _ZN6S928C34v196Ev();
+extern void _ZN6S928C34v197Ev();
+extern void _ZN6S928C34v198Ev();
+extern void _ZN6S928C34v199Ev();
+extern void _ZN6S928C34v200Ev();
+extern void _ZN6S928C34v201Ev();
+extern void _ZN6S928C34v202Ev();
+extern void _ZN6S928C34v203Ev();
+extern void _ZN6S928C34v204Ev();
+extern void _ZN6S928C34v205Ev();
+extern void _ZN6S928C34v206Ev();
+extern void _ZN6S928C34v207Ev();
+extern void _ZN6S928C34v208Ev();
+extern void _ZN6S928C34v209Ev();
+extern void _ZN6S928C34v210Ev();
+extern void _ZN6S928C34v211Ev();
+extern void _ZN6S928C34v212Ev();
+extern void _ZN6S928C34v213Ev();
+extern void _ZN6S928C34v214Ev();
+extern void _ZN6S928C34v215Ev();
+extern void _ZN6S928C34v216Ev();
+extern void _ZN6S928C34v217Ev();
+extern void _ZN6S928C34v218Ev();
+extern void _ZN6S928C34v219Ev();
+extern void _ZN6S928C34v220Ev();
+extern void _ZN6S928C34v221Ev();
+extern void _ZN6S928C34v222Ev();
+extern void _ZN6S928C34v223Ev();
+extern void _ZN6S928C34v224Ev();
+extern void _ZN6S928C34v225Ev();
+extern void _ZN6S928C34v226Ev();
+extern void _ZN6S928C34v227Ev();
+extern void _ZN6S928C34v228Ev();
+extern void _ZN6S928C34v229Ev();
+extern void _ZN6S928C34v230Ev();
+extern void _ZN6S928C34v231Ev();
+extern void _ZN6S928C34v232Ev();
+extern void _ZN6S928C34v233Ev();
+extern void _ZN6S928C34v234Ev();
+extern void _ZN6S928C34v235Ev();
+extern void _ZN6S928C34v236Ev();
+extern void _ZN6S928C34v237Ev();
+extern void _ZN6S928C34v238Ev();
+extern void _ZN6S928C34v239Ev();
+extern void _ZN6S928C34v240Ev();
+extern void _ZN6S928C34v241Ev();
+extern void _ZN6S928C24v242Ev();
+extern void _ZN6S928C24v243Ev();
+extern void _ZN6S928C24v244Ev();
+extern void _ZN6S928C24v245Ev();
+extern void _ZN6S928C24v246Ev();
+extern void _ZN6S928C24v247Ev();
+extern void _ZN6S928C24v248Ev();
+extern void _ZN6S928C24v249Ev();
+extern void _ZN6S928C24v250Ev();
+extern void _ZN6S928C12v1Ev();
+extern void _ZN6S928C12v2Ev();
+extern void _ZN6S928C12v3Ev();
+extern void _ZN6S928C12v4Ev();
+extern void _ZN6S928C12v5Ev();
+extern void _ZN6S928C12v6Ev();
+extern void _ZN6S928C12v7Ev();
+extern void _ZN6S928C12v8Ev();
+extern void _ZN6S928C12v9Ev();
+extern void _ZN6S928C13v10Ev();
+extern void _ZN6S928C13v11Ev();
+extern void _ZN6S928C13v12Ev();
+extern void _ZN6S928C13v13Ev();
+extern void _ZN6S928C13v14Ev();
+extern void _ZN6S928C13v15Ev();
+extern void _ZN6S928C13v16Ev();
+extern void _ZN6S928C13v17Ev();
+extern void _ZN6S928C13v18Ev();
+extern void _ZN6S928C13v19Ev();
+extern void _ZN6S928C13v20Ev();
+extern void _ZN6S928C13v21Ev();
+extern void _ZN6S928C13v22Ev();
+extern void _ZN6S928C13v23Ev();
+extern void _ZN6S928C13v24Ev();
+extern void _ZN6S928C13v25Ev();
+extern void _ZN6S928C13v26Ev();
+extern void _ZN6S928C13v27Ev();
+extern void _ZN6S928C13v28Ev();
+extern void _ZN6S928C13v29Ev();
+extern void _ZN6S928C13v30Ev();
+extern void _ZN6S928C13v31Ev();
+extern void _ZN6S928C13v32Ev();
+extern void _ZN6S928C13v33Ev();
+extern void _ZN6S928C13v34Ev();
+extern void _ZN6S928C13v35Ev();
+extern void _ZN6S928C13v36Ev();
+extern void _ZN6S928C13v37Ev();
+extern void _ZN6S928C13v38Ev();
+extern void _ZN6S928C13v39Ev();
+extern void _ZN6S928C13v40Ev();
+extern void _ZN6S928C13v41Ev();
+extern void _ZN6S928C13v42Ev();
+extern void _ZN6S928C13v43Ev();
+extern void _ZN6S928C13v44Ev();
+extern void _ZN6S928C13v45Ev();
+extern void _ZN6S928C13v46Ev();
+extern void _ZN6S928C13v47Ev();
+extern void _ZN6S928C13v48Ev();
+extern void _ZN6S928C13v49Ev();
+extern void _ZN6S928C13v50Ev();
+extern void _ZN6S928C13v51Ev();
+extern void _ZN6S928C13v52Ev();
+extern void _ZN6S928C13v53Ev();
+extern void _ZN6S928C13v54Ev();
+extern void ABISELECT(_ZThn1344_N6S928C1D1Ev,_ZThn1072_N6S928C1D1Ev)();
+extern void ABISELECT(_ZThn1344_N6S928C1D0Ev,_ZThn1072_N6S928C1D0Ev)();
+extern void _ZN7S928C504v251Ev();
+extern void _ZN7S928C504v252Ev();
+extern void _ZN7S928C504v253Ev();
+extern void _ZN7S928C504v254Ev();
+extern void ABISELECT(_ZThn1352_N6S928C1D1Ev,_ZThn1076_N6S928C1D1Ev)();
+extern void ABISELECT(_ZThn1352_N6S928C1D0Ev,_ZThn1076_N6S928C1D0Ev)();
+extern void _ZN7S928C514v255Ev();
+extern void _ZN7S928C514v256Ev();
+extern void _ZN7S928C514v257Ev();
+extern void _ZN7S928C514v258Ev();
+extern void _ZN7S928C514v259Ev();
+extern void _ZN7S928C514v260Ev();
+extern void ABISELECT(_ZThn1360_N6S928C1D1Ev,_ZThn1080_N6S928C1D1Ev)();
+extern void ABISELECT(_ZThn1360_N6S928C1D0Ev,_ZThn1080_N6S928C1D0Ev)();
+extern void _ZN7S928C524v261Ev();
+extern void _ZN7S928C524v262Ev();
+extern void _ZN7S928C524v263Ev();
+extern void _ZN7S928C524v264Ev();
+extern void _ZN7S928C524v265Ev();
+extern void _ZN7S928C524v266Ev();
+extern void _ZN7S928C524v267Ev();
+extern void _ZN7S928C524v268Ev();
+static  VTBL_ENTRY vtc_S928C1[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S928C1[0]),
+  (VTBL_ENTRY)&_ZN6S928C1D1Ev,
+  (VTBL_ENTRY)&_ZN6S928C1D0Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v55Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v56Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v57Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v58Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v59Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v60Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v61Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v62Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v63Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v64Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v65Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S928C53v77Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v78Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v79Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v80Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v81Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v82Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v83Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v84Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v85Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v86Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v87Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v88Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v89Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v90Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v91Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v92Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v93Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v94Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v95Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v96Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v97Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v98Ev,
+  (VTBL_ENTRY)&_ZN6S928C43v99Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v100Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v101Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v102Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v103Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v104Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v105Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v106Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v107Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v108Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v109Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v110Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v111Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v112Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v113Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v114Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v115Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v116Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v117Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v118Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v119Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v120Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v121Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v122Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v123Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v124Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v125Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v126Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v127Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v128Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v129Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v130Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v131Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v132Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v133Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v134Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v135Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v136Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v137Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v138Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v139Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v140Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v141Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v142Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v143Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v144Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v145Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v146Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v147Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v148Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v149Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v150Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v151Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v152Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v153Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v154Ev,
+  (VTBL_ENTRY)&_ZN6S928C44v155Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v156Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v157Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v158Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v159Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v160Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v161Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v162Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v163Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v164Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v165Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v166Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v167Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v168Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v169Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v170Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v171Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v172Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v173Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v174Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v175Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v176Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v177Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v178Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v179Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v180Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v181Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v182Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v183Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v184Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v185Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v186Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v187Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v188Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v189Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v190Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v191Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v192Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v193Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v194Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v195Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v196Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v197Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v198Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v199Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v200Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v201Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v202Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v203Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v204Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v205Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v206Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v207Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v208Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v209Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v210Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v211Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v212Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v213Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v214Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v215Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v216Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v217Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v218Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v219Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v220Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v221Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v222Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v223Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v224Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v225Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v226Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v227Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v228Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v229Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v230Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v231Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v232Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v233Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v234Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v235Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v236Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v237Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v238Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v239Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v240Ev,
+  (VTBL_ENTRY)&_ZN6S928C34v241Ev,
+  (VTBL_ENTRY)&_ZN6S928C24v242Ev,
+  (VTBL_ENTRY)&_ZN6S928C24v243Ev,
+  (VTBL_ENTRY)&_ZN6S928C24v244Ev,
+  (VTBL_ENTRY)&_ZN6S928C24v245Ev,
+  (VTBL_ENTRY)&_ZN6S928C24v246Ev,
+  (VTBL_ENTRY)&_ZN6S928C24v247Ev,
+  (VTBL_ENTRY)&_ZN6S928C24v248Ev,
+  (VTBL_ENTRY)&_ZN6S928C24v249Ev,
+  (VTBL_ENTRY)&_ZN6S928C24v250Ev,
+  (VTBL_ENTRY)&_ZN6S928C12v1Ev,
+  (VTBL_ENTRY)&_ZN6S928C12v2Ev,
+  (VTBL_ENTRY)&_ZN6S928C12v3Ev,
+  (VTBL_ENTRY)&_ZN6S928C12v4Ev,
+  (VTBL_ENTRY)&_ZN6S928C12v5Ev,
+  (VTBL_ENTRY)&_ZN6S928C12v6Ev,
+  (VTBL_ENTRY)&_ZN6S928C12v7Ev,
+  (VTBL_ENTRY)&_ZN6S928C12v8Ev,
+  (VTBL_ENTRY)&_ZN6S928C12v9Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v10Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v11Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v12Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v13Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v14Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v15Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v16Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v17Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v18Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v19Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v20Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v21Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v22Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v23Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v24Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v25Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v26Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v27Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v28Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v29Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v30Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v31Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v32Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v33Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v34Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v35Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v36Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v37Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v38Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v39Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v40Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v41Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v42Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v43Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v44Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v45Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v46Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v47Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v48Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v49Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v50Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v51Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v52Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v53Ev,
+  (VTBL_ENTRY)&_ZN6S928C13v54Ev,
+  ABISELECT(-1344,-1072),
+  (VTBL_ENTRY)&(_ZTI6S928C1[0]),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1344_N6S928C1D1Ev,_ZThn1072_N6S928C1D1Ev),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1344_N6S928C1D0Ev,_ZThn1072_N6S928C1D0Ev),
+  (VTBL_ENTRY)&_ZN7S928C504v251Ev,
+  (VTBL_ENTRY)&_ZN7S928C504v252Ev,
+  (VTBL_ENTRY)&_ZN7S928C504v253Ev,
+  (VTBL_ENTRY)&_ZN7S928C504v254Ev,
+  ABISELECT(-1352,-1076),
+  (VTBL_ENTRY)&(_ZTI6S928C1[0]),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1352_N6S928C1D1Ev,_ZThn1076_N6S928C1D1Ev),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1352_N6S928C1D0Ev,_ZThn1076_N6S928C1D0Ev),
+  (VTBL_ENTRY)&_ZN7S928C514v255Ev,
+  (VTBL_ENTRY)&_ZN7S928C514v256Ev,
+  (VTBL_ENTRY)&_ZN7S928C514v257Ev,
+  (VTBL_ENTRY)&_ZN7S928C514v258Ev,
+  (VTBL_ENTRY)&_ZN7S928C514v259Ev,
+  (VTBL_ENTRY)&_ZN7S928C514v260Ev,
+  ABISELECT(-1360,-1080),
+  (VTBL_ENTRY)&(_ZTI6S928C1[0]),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1360_N6S928C1D1Ev,_ZThn1080_N6S928C1D1Ev),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1360_N6S928C1D0Ev,_ZThn1080_N6S928C1D0Ev),
+  (VTBL_ENTRY)&_ZN7S928C524v261Ev,
+  (VTBL_ENTRY)&_ZN7S928C524v262Ev,
+  (VTBL_ENTRY)&_ZN7S928C524v263Ev,
+  (VTBL_ENTRY)&_ZN7S928C524v264Ev,
+  (VTBL_ENTRY)&_ZN7S928C524v265Ev,
+  (VTBL_ENTRY)&_ZN7S928C524v266Ev,
+  (VTBL_ENTRY)&_ZN7S928C524v267Ev,
+  (VTBL_ENTRY)&_ZN7S928C524v268Ev,
+};
+extern VTBL_ENTRY _ZTI6S928C1[];
+extern  VTBL_ENTRY _ZTV6S928C1[];
+Class_Descriptor cd_S928C1 = {  "S928C1", // class name
+  bases_S928C1, 7,
+  &(vtc_S928C1[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(2648,2012), // object size
+  NSPAIRA(_ZTI6S928C1),ABISELECT(88,48), //typeinfo_var
+  NSPAIRA(_ZTV6S928C1),284, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  7, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S929C5  {
+  void *s929c5f0;
+  int s929c5f1;
+  ::S938C45 s929c5f2;
+  ::S938C26 s929c5f3;
+  int s929c5f4;
+  virtual  ~S929C5(); // _ZN6S929C5D1Ev
+  virtual void  v55(); // _ZN6S929C53v55Ev
+  virtual void  v56(); // _ZN6S929C53v56Ev
+  virtual void  v57(); // _ZN6S929C53v57Ev
+  virtual void  v58(); // _ZN6S929C53v58Ev
+  virtual void  v59(); // _ZN6S929C53v59Ev
+  virtual void  v60(); // _ZN6S929C53v60Ev
+  virtual void  v61(); // _ZN6S929C53v61Ev
+  virtual void  v62(); // _ZN6S929C53v62Ev
+  virtual void  v63(); // _ZN6S929C53v63Ev
+  virtual void  v64(); // _ZN6S929C53v64Ev
+  virtual void  v65(); // _ZN6S929C53v65Ev
+  virtual void  v66(); // _ZN6S929C53v66Ev
+  virtual void  v67(); // _ZN6S929C53v67Ev
+  virtual void  v68(); // _ZN6S929C53v68Ev
+  virtual void  v69(); // _ZN6S929C53v69Ev
+  virtual void  v70(); // _ZN6S929C53v70Ev
+  virtual void  v71(); // _ZN6S929C53v71Ev
+  virtual void  v72(); // _ZN6S929C53v72Ev
+  virtual void  v73(); // _ZN6S929C53v73Ev
+  virtual void  v74(); // _ZN6S929C53v74Ev
+  virtual void  v75(); // _ZN6S929C53v75Ev
+  virtual void  v76(); // _ZN6S929C53v76Ev
+  virtual void  v77(); // _ZN6S929C53v77Ev
+  S929C5(); // tgen
+};
+//SIG(-1 S929C5) C1{ vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 Fp Fi FC2{ BC3{ m Fp Fi FC4{ m} FC5{ m}}} FC6{ BC7{ m Fp Fi FC8{ m} FC9{ m}}} Fi}
+
+
+ S929C5 ::~S929C5(){ note_dtor("S929C5", this);}
+void  S929C5 ::v55(){vfunc_called(this, "_ZN6S929C53v55Ev");}
+void  S929C5 ::v56(){vfunc_called(this, "_ZN6S929C53v56Ev");}
+void  S929C5 ::v57(){vfunc_called(this, "_ZN6S929C53v57Ev");}
+void  S929C5 ::v58(){vfunc_called(this, "_ZN6S929C53v58Ev");}
+void  S929C5 ::v59(){vfunc_called(this, "_ZN6S929C53v59Ev");}
+void  S929C5 ::v60(){vfunc_called(this, "_ZN6S929C53v60Ev");}
+void  S929C5 ::v61(){vfunc_called(this, "_ZN6S929C53v61Ev");}
+void  S929C5 ::v62(){vfunc_called(this, "_ZN6S929C53v62Ev");}
+void  S929C5 ::v63(){vfunc_called(this, "_ZN6S929C53v63Ev");}
+void  S929C5 ::v64(){vfunc_called(this, "_ZN6S929C53v64Ev");}
+void  S929C5 ::v65(){vfunc_called(this, "_ZN6S929C53v65Ev");}
+void  S929C5 ::v66(){vfunc_called(this, "_ZN6S929C53v66Ev");}
+void  S929C5 ::v67(){vfunc_called(this, "_ZN6S929C53v67Ev");}
+void  S929C5 ::v68(){vfunc_called(this, "_ZN6S929C53v68Ev");}
+void  S929C5 ::v69(){vfunc_called(this, "_ZN6S929C53v69Ev");}
+void  S929C5 ::v70(){vfunc_called(this, "_ZN6S929C53v70Ev");}
+void  S929C5 ::v71(){vfunc_called(this, "_ZN6S929C53v71Ev");}
+void  S929C5 ::v72(){vfunc_called(this, "_ZN6S929C53v72Ev");}
+void  S929C5 ::v73(){vfunc_called(this, "_ZN6S929C53v73Ev");}
+void  S929C5 ::v74(){vfunc_called(this, "_ZN6S929C53v74Ev");}
+void  S929C5 ::v75(){vfunc_called(this, "_ZN6S929C53v75Ev");}
+void  S929C5 ::v76(){vfunc_called(this, "_ZN6S929C53v76Ev");}
+void  S929C5 ::v77(){vfunc_called(this, "_ZN6S929C53v77Ev");}
+S929C5 ::S929C5(){ note_ctor("S929C5", this);} // tgen
+
+static void Test_S929C5()
+{
+  extern Class_Descriptor cd_S929C5;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(9,11)];
+    init_test(&cd_S929C5, buf);
+    S929C5 *dp, &lv = *(dp=new (buf) S929C5());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(64,40), "sizeof(S929C5)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S929C5)");
+    check_field_offset(lv, s929c5f0, ABISELECT(8,4), "S929C5.s929c5f0");
+    check_field_offset(lv, s929c5f1, ABISELECT(16,8), "S929C5.s929c5f1");
+    check_field_offset(lv, s929c5f2, ABISELECT(24,12), "S929C5.s929c5f2");
+    check_field_offset(lv, s929c5f3, ABISELECT(40,24), "S929C5.s929c5f3");
+    check_field_offset(lv, s929c5f4, ABISELECT(56,36), "S929C5.s929c5f4");
+    test_class_info(&lv, &cd_S929C5);
+    dp->~S929C5();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS929C5(Test_S929C5, "S929C5", ABISELECT(64,40));
+
+#else // __cplusplus
+
+extern void _ZN6S929C5C1Ev();
+extern void _ZN6S929C5D1Ev();
+Name_Map name_map_S929C5[] = {
+  NSPAIR(_ZN6S929C5C1Ev),
+  NSPAIR(_ZN6S929C5D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI6S929C5[];
+extern void _ZN6S929C5D1Ev();
+extern void _ZN6S929C5D0Ev();
+extern void _ZN6S929C53v55Ev();
+extern void _ZN6S929C53v56Ev();
+extern void _ZN6S929C53v57Ev();
+extern void _ZN6S929C53v58Ev();
+extern void _ZN6S929C53v59Ev();
+extern void _ZN6S929C53v60Ev();
+extern void _ZN6S929C53v61Ev();
+extern void _ZN6S929C53v62Ev();
+extern void _ZN6S929C53v63Ev();
+extern void _ZN6S929C53v64Ev();
+extern void _ZN6S929C53v65Ev();
+extern void _ZN6S929C53v66Ev();
+extern void _ZN6S929C53v67Ev();
+extern void _ZN6S929C53v68Ev();
+extern void _ZN6S929C53v69Ev();
+extern void _ZN6S929C53v70Ev();
+extern void _ZN6S929C53v71Ev();
+extern void _ZN6S929C53v72Ev();
+extern void _ZN6S929C53v73Ev();
+extern void _ZN6S929C53v74Ev();
+extern void _ZN6S929C53v75Ev();
+extern void _ZN6S929C53v76Ev();
+extern void _ZN6S929C53v77Ev();
+static  VTBL_ENTRY vtc_S929C5[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S929C5[0]),
+  (VTBL_ENTRY)&_ZN6S929C5D1Ev,
+  (VTBL_ENTRY)&_ZN6S929C5D0Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v55Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v56Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v57Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v58Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v59Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v60Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v61Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v62Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v63Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v64Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v65Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v77Ev,
+};
+extern VTBL_ENTRY _ZTI6S929C5[];
+extern  VTBL_ENTRY _ZTV6S929C5[];
+Class_Descriptor cd_S929C5 = {  "S929C5", // class name
+  0,0,//no base classes
+  &(vtc_S929C5[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(64,40), // object size
+  NSPAIRA(_ZTI6S929C5),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV6S929C5),27, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S929C4  : S929C5 {
+  ::S938C60 s929c4f0;
+  ::S938C60 s929c4f1;
+  ::S938C60 s929c4f2;
+  ::S938C14_nt s929c4f3;
+  ::S938C18 s929c4f4;
+  ::S938C19_nt s929c4f5;
+  ::S938C19_nt s929c4f6;
+  ::S938C60 s929c4f7;
+  ::S938C60 s929c4f8;
+  ::S938C60 s929c4f9;
+  void *s929c4f10;
+  ::S938C18 s929c4f11;
+  ::S938C20_nt s929c4f12;
+  int s929c4f13;
+  void *s929c4f14[7];
+  ::S938C66 s929c4f15;
+  int s929c4f16:8;
+  int s929c4f17:8;
+  int s929c4f18:16;
+  int s929c4f19:16;
+  int s929c4f20:8;
+  int s929c4f21:8;
+  int s929c4f22:8;
+  int s929c4f23:32;
+  int s929c4f24:8;
+  int s929c4f25:4;
+  int s929c4f26:4;
+  int s929c4f27:4;
+  int s929c4f28:4;
+  int s929c4f29:4;
+  int s929c4f30:4;
+  int s929c4f31:4;
+  int s929c4f32:4;
+  int s929c4f33:4;
+  int s929c4f34:4;
+  unsigned char s929c4f35:1;
+  unsigned char s929c4f36:1;
+  unsigned char s929c4f37:1;
+  unsigned char s929c4f38:1;
+  unsigned char s929c4f39:1;
+  unsigned char s929c4f40:1;
+  unsigned char s929c4f41:1;
+  unsigned char s929c4f42:1;
+  unsigned char s929c4f43:1;
+  unsigned char s929c4f44:1;
+  unsigned char s929c4f45:1;
+  unsigned char s929c4f46:1;
+  unsigned char s929c4f47:1;
+  unsigned char s929c4f48:1;
+  unsigned char s929c4f49:1;
+  unsigned char s929c4f50:1;
+  unsigned char s929c4f51:1;
+  unsigned char s929c4f52:1;
+  unsigned char s929c4f53:1;
+  unsigned char s929c4f54:1;
+  unsigned char s929c4f55:1;
+  unsigned char s929c4f56:1;
+  unsigned char s929c4f57:1;
+  unsigned char s929c4f58:1;
+  unsigned char s929c4f59:1;
+  unsigned char s929c4f60:1;
+  unsigned char s929c4f61:1;
+  unsigned char s929c4f62:1;
+  unsigned char s929c4f63:1;
+  unsigned char s929c4f64:1;
+  unsigned char s929c4f65:1;
+  unsigned char s929c4f66:1;
+  unsigned char s929c4f67:1;
+  unsigned char s929c4f68:1;
+  unsigned char s929c4f69:1;
+  unsigned char s929c4f70:1;
+  unsigned char s929c4f71:1;
+  unsigned char s929c4f72:1;
+  unsigned char s929c4f73:1;
+  unsigned char s929c4f74:1;
+  unsigned char s929c4f75:1;
+  int s929c4f76[7];
+  void *s929c4f77[6];
+  int s929c4f78:8;
+  int s929c4f79:8;
+  int s929c4f80:8;
+  int s929c4f81:8;
+  int s929c4f82:8;
+  int s929c4f83:8;
+  ::S938C6_nt s929c4f84;
+  void *s929c4f85[4];
+  int s929c4f86:8;
+  int s929c4f87:8;
+  int s929c4f88:8;
+  int s929c4f89:8;
+  int s929c4f90:8;
+  int s929c4f91:16;
+  int s929c4f92:16;
+  int s929c4f93:16;
+  int s929c4f94:4;
+  int s929c4f95:4;
+  int s929c4f96:4;
+  int s929c4f97:4;
+  int s929c4f98:4;
+  int s929c4f99:4;
+  int s929c4f100:4;
+  int s929c4f101:4;
+  int s929c4f102:4;
+  int s929c4f103:4;
+  int s929c4f104:4;
+  int s929c4f105:4;
+  int s929c4f106:8;
+  int s929c4f107:8;
+  int s929c4f108:8;
+  void *s929c4f109[7];
+  ::S938C38 s929c4f110;
+  void *s929c4f111[2];
+  int s929c4f112:16;
+  int s929c4f113:16;
+  ::S928C45 s929c4f114;
+  ::S938C30_nt s929c4f115;
+  ::S928C67 s929c4f116;
+  void *s929c4f117[2];
+  int s929c4f118:24;
+  int s929c4f119:8;
+  void *s929c4f120;
+  virtual  ~S929C4(); // _ZN6S929C4D1Ev
+  virtual void  v78(); // _ZN6S929C43v78Ev
+  virtual void  v79(); // _ZN6S929C43v79Ev
+  virtual void  v80(); // _ZN6S929C43v80Ev
+  virtual void  v81(); // _ZN6S929C43v81Ev
+  virtual void  v82(); // _ZN6S929C43v82Ev
+  virtual void  v83(); // _ZN6S929C43v83Ev
+  virtual void  v84(); // _ZN6S929C43v84Ev
+  virtual void  v85(); // _ZN6S929C43v85Ev
+  virtual void  v86(); // _ZN6S929C43v86Ev
+  virtual void  v87(); // _ZN6S929C43v87Ev
+  virtual void  v88(); // _ZN6S929C43v88Ev
+  virtual void  v89(); // _ZN6S929C43v89Ev
+  virtual void  v90(); // _ZN6S929C43v90Ev
+  virtual void  v91(); // _ZN6S929C43v91Ev
+  virtual void  v92(); // _ZN6S929C43v92Ev
+  virtual void  v93(); // _ZN6S929C43v93Ev
+  virtual void  v94(); // _ZN6S929C43v94Ev
+  virtual void  v95(); // _ZN6S929C43v95Ev
+  virtual void  v96(); // _ZN6S929C43v96Ev
+  virtual void  v97(); // _ZN6S929C43v97Ev
+  virtual void  v98(); // _ZN6S929C43v98Ev
+  virtual void  v99(); // _ZN6S929C43v99Ev
+  virtual void  v100(); // _ZN6S929C44v100Ev
+  virtual void  v101(); // _ZN6S929C44v101Ev
+  virtual void  v102(); // _ZN6S929C44v102Ev
+  virtual void  v103(); // _ZN6S929C44v103Ev
+  virtual void  v104(); // _ZN6S929C44v104Ev
+  virtual void  v105(); // _ZN6S929C44v105Ev
+  virtual void  v106(); // _ZN6S929C44v106Ev
+  virtual void  v107(); // _ZN6S929C44v107Ev
+  virtual void  v108(); // _ZN6S929C44v108Ev
+  virtual void  v109(); // _ZN6S929C44v109Ev
+  virtual void  v110(); // _ZN6S929C44v110Ev
+  virtual void  v111(); // _ZN6S929C44v111Ev
+  virtual void  v112(); // _ZN6S929C44v112Ev
+  virtual void  v113(); // _ZN6S929C44v113Ev
+  virtual void  v114(); // _ZN6S929C44v114Ev
+  virtual void  v115(); // _ZN6S929C44v115Ev
+  virtual void  v116(); // _ZN6S929C44v116Ev
+  virtual void  v117(); // _ZN6S929C44v117Ev
+  virtual void  v118(); // _ZN6S929C44v118Ev
+  virtual void  v119(); // _ZN6S929C44v119Ev
+  virtual void  v120(); // _ZN6S929C44v120Ev
+  virtual void  v121(); // _ZN6S929C44v121Ev
+  virtual void  v122(); // _ZN6S929C44v122Ev
+  virtual void  v123(); // _ZN6S929C44v123Ev
+  virtual void  v124(); // _ZN6S929C44v124Ev
+  virtual void  v125(); // _ZN6S929C44v125Ev
+  virtual void  v126(); // _ZN6S929C44v126Ev
+  virtual void  v127(); // _ZN6S929C44v127Ev
+  virtual void  v128(); // _ZN6S929C44v128Ev
+  virtual void  v129(); // _ZN6S929C44v129Ev
+  virtual void  v130(); // _ZN6S929C44v130Ev
+  virtual void  v131(); // _ZN6S929C44v131Ev
+  virtual void  v132(); // _ZN6S929C44v132Ev
+  virtual void  v133(); // _ZN6S929C44v133Ev
+  virtual void  v134(); // _ZN6S929C44v134Ev
+  virtual void  v135(); // _ZN6S929C44v135Ev
+  virtual void  v136(); // _ZN6S929C44v136Ev
+  virtual void  v137(); // _ZN6S929C44v137Ev
+  virtual void  v138(); // _ZN6S929C44v138Ev
+  virtual void  v139(); // _ZN6S929C44v139Ev
+  virtual void  v140(); // _ZN6S929C44v140Ev
+  virtual void  v141(); // _ZN6S929C44v141Ev
+  virtual void  v142(); // _ZN6S929C44v142Ev
+  virtual void  v143(); // _ZN6S929C44v143Ev
+  virtual void  v144(); // _ZN6S929C44v144Ev
+  virtual void  v145(); // _ZN6S929C44v145Ev
+  virtual void  v146(); // _ZN6S929C44v146Ev
+  virtual void  v147(); // _ZN6S929C44v147Ev
+  virtual void  v148(); // _ZN6S929C44v148Ev
+  virtual void  v149(); // _ZN6S929C44v149Ev
+  virtual void  v150(); // _ZN6S929C44v150Ev
+  virtual void  v151(); // _ZN6S929C44v151Ev
+  virtual void  v152(); // _ZN6S929C44v152Ev
+  virtual void  v153(); // _ZN6S929C44v153Ev
+  virtual void  v154(); // _ZN6S929C44v154Ev
+  virtual void  v155(); // _ZN6S929C44v155Ev
+  S929C4(); // tgen
+};
+//SIG(-1 S929C4) C1{ BC2{ vd v79 v80 v81 v82 v83 v84 v85 v86 v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 Fp Fi FC3{ BC4{ m Fp Fi FC5{ m} FC6{ m}}} FC7{ BC8{ m Fp Fi FC9{ m} FC10{ m}}} Fi} vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53 v54 v55 v56 v57 v58 v59 v60 v61 v62 v63 v64 v65 v66 v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 v78 FC11{ m FC12{ m FL[2]}} FC11 FC11 FC13{ m FC12} FC14{ m FC13} FC15{ m FC13 FC13 FC13 FC13} FC15 FC11 FC11 FC11 Fp FC14 FC16{ m FC17{ m Fp Fi FC18{ m}} Fi} Fi Fp[7] FC19{ m Fp Fi FC6 FC20{ m}} Fi:8 Fi:8 Fi:16 Fi:16 Fi:8 Fi:8 Fi:8 Fi:32 Fi:8 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 F!
 c:1 Fc:1 
 Fc:1 Fc:1 Fi[7] Fp[6] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 FC21{ BC22{ m Fp Fi FC23{ m} FC23}} Fp[4] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:16 Fi:16 Fi:16 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:8 Fi:8 Fi:8 Fp[7] FC24{ m Fp Fi[2] FC5} Fp[2] Fi:16 Fi:16 FC25{ BC8} FC26{ m Fp Fi[2] FC10} FC27{ m Fp Fi[2] FC20} Fp[2] Fi:24 Fi:8 Fp}
+
+
+ S929C4 ::~S929C4(){ note_dtor("S929C4", this);}
+void  S929C4 ::v78(){vfunc_called(this, "_ZN6S929C43v78Ev");}
+void  S929C4 ::v79(){vfunc_called(this, "_ZN6S929C43v79Ev");}
+void  S929C4 ::v80(){vfunc_called(this, "_ZN6S929C43v80Ev");}
+void  S929C4 ::v81(){vfunc_called(this, "_ZN6S929C43v81Ev");}
+void  S929C4 ::v82(){vfunc_called(this, "_ZN6S929C43v82Ev");}
+void  S929C4 ::v83(){vfunc_called(this, "_ZN6S929C43v83Ev");}
+void  S929C4 ::v84(){vfunc_called(this, "_ZN6S929C43v84Ev");}
+void  S929C4 ::v85(){vfunc_called(this, "_ZN6S929C43v85Ev");}
+void  S929C4 ::v86(){vfunc_called(this, "_ZN6S929C43v86Ev");}
+void  S929C4 ::v87(){vfunc_called(this, "_ZN6S929C43v87Ev");}
+void  S929C4 ::v88(){vfunc_called(this, "_ZN6S929C43v88Ev");}
+void  S929C4 ::v89(){vfunc_called(this, "_ZN6S929C43v89Ev");}
+void  S929C4 ::v90(){vfunc_called(this, "_ZN6S929C43v90Ev");}
+void  S929C4 ::v91(){vfunc_called(this, "_ZN6S929C43v91Ev");}
+void  S929C4 ::v92(){vfunc_called(this, "_ZN6S929C43v92Ev");}
+void  S929C4 ::v93(){vfunc_called(this, "_ZN6S929C43v93Ev");}
+void  S929C4 ::v94(){vfunc_called(this, "_ZN6S929C43v94Ev");}
+void  S929C4 ::v95(){vfunc_called(this, "_ZN6S929C43v95Ev");}
+void  S929C4 ::v96(){vfunc_called(this, "_ZN6S929C43v96Ev");}
+void  S929C4 ::v97(){vfunc_called(this, "_ZN6S929C43v97Ev");}
+void  S929C4 ::v98(){vfunc_called(this, "_ZN6S929C43v98Ev");}
+void  S929C4 ::v99(){vfunc_called(this, "_ZN6S929C43v99Ev");}
+void  S929C4 ::v100(){vfunc_called(this, "_ZN6S929C44v100Ev");}
+void  S929C4 ::v101(){vfunc_called(this, "_ZN6S929C44v101Ev");}
+void  S929C4 ::v102(){vfunc_called(this, "_ZN6S929C44v102Ev");}
+void  S929C4 ::v103(){vfunc_called(this, "_ZN6S929C44v103Ev");}
+void  S929C4 ::v104(){vfunc_called(this, "_ZN6S929C44v104Ev");}
+void  S929C4 ::v105(){vfunc_called(this, "_ZN6S929C44v105Ev");}
+void  S929C4 ::v106(){vfunc_called(this, "_ZN6S929C44v106Ev");}
+void  S929C4 ::v107(){vfunc_called(this, "_ZN6S929C44v107Ev");}
+void  S929C4 ::v108(){vfunc_called(this, "_ZN6S929C44v108Ev");}
+void  S929C4 ::v109(){vfunc_called(this, "_ZN6S929C44v109Ev");}
+void  S929C4 ::v110(){vfunc_called(this, "_ZN6S929C44v110Ev");}
+void  S929C4 ::v111(){vfunc_called(this, "_ZN6S929C44v111Ev");}
+void  S929C4 ::v112(){vfunc_called(this, "_ZN6S929C44v112Ev");}
+void  S929C4 ::v113(){vfunc_called(this, "_ZN6S929C44v113Ev");}
+void  S929C4 ::v114(){vfunc_called(this, "_ZN6S929C44v114Ev");}
+void  S929C4 ::v115(){vfunc_called(this, "_ZN6S929C44v115Ev");}
+void  S929C4 ::v116(){vfunc_called(this, "_ZN6S929C44v116Ev");}
+void  S929C4 ::v117(){vfunc_called(this, "_ZN6S929C44v117Ev");}
+void  S929C4 ::v118(){vfunc_called(this, "_ZN6S929C44v118Ev");}
+void  S929C4 ::v119(){vfunc_called(this, "_ZN6S929C44v119Ev");}
+void  S929C4 ::v120(){vfunc_called(this, "_ZN6S929C44v120Ev");}
+void  S929C4 ::v121(){vfunc_called(this, "_ZN6S929C44v121Ev");}
+void  S929C4 ::v122(){vfunc_called(this, "_ZN6S929C44v122Ev");}
+void  S929C4 ::v123(){vfunc_called(this, "_ZN6S929C44v123Ev");}
+void  S929C4 ::v124(){vfunc_called(this, "_ZN6S929C44v124Ev");}
+void  S929C4 ::v125(){vfunc_called(this, "_ZN6S929C44v125Ev");}
+void  S929C4 ::v126(){vfunc_called(this, "_ZN6S929C44v126Ev");}
+void  S929C4 ::v127(){vfunc_called(this, "_ZN6S929C44v127Ev");}
+void  S929C4 ::v128(){vfunc_called(this, "_ZN6S929C44v128Ev");}
+void  S929C4 ::v129(){vfunc_called(this, "_ZN6S929C44v129Ev");}
+void  S929C4 ::v130(){vfunc_called(this, "_ZN6S929C44v130Ev");}
+void  S929C4 ::v131(){vfunc_called(this, "_ZN6S929C44v131Ev");}
+void  S929C4 ::v132(){vfunc_called(this, "_ZN6S929C44v132Ev");}
+void  S929C4 ::v133(){vfunc_called(this, "_ZN6S929C44v133Ev");}
+void  S929C4 ::v134(){vfunc_called(this, "_ZN6S929C44v134Ev");}
+void  S929C4 ::v135(){vfunc_called(this, "_ZN6S929C44v135Ev");}
+void  S929C4 ::v136(){vfunc_called(this, "_ZN6S929C44v136Ev");}
+void  S929C4 ::v137(){vfunc_called(this, "_ZN6S929C44v137Ev");}
+void  S929C4 ::v138(){vfunc_called(this, "_ZN6S929C44v138Ev");}
+void  S929C4 ::v139(){vfunc_called(this, "_ZN6S929C44v139Ev");}
+void  S929C4 ::v140(){vfunc_called(this, "_ZN6S929C44v140Ev");}
+void  S929C4 ::v141(){vfunc_called(this, "_ZN6S929C44v141Ev");}
+void  S929C4 ::v142(){vfunc_called(this, "_ZN6S929C44v142Ev");}
+void  S929C4 ::v143(){vfunc_called(this, "_ZN6S929C44v143Ev");}
+void  S929C4 ::v144(){vfunc_called(this, "_ZN6S929C44v144Ev");}
+void  S929C4 ::v145(){vfunc_called(this, "_ZN6S929C44v145Ev");}
+void  S929C4 ::v146(){vfunc_called(this, "_ZN6S929C44v146Ev");}
+void  S929C4 ::v147(){vfunc_called(this, "_ZN6S929C44v147Ev");}
+void  S929C4 ::v148(){vfunc_called(this, "_ZN6S929C44v148Ev");}
+void  S929C4 ::v149(){vfunc_called(this, "_ZN6S929C44v149Ev");}
+void  S929C4 ::v150(){vfunc_called(this, "_ZN6S929C44v150Ev");}
+void  S929C4 ::v151(){vfunc_called(this, "_ZN6S929C44v151Ev");}
+void  S929C4 ::v152(){vfunc_called(this, "_ZN6S929C44v152Ev");}
+void  S929C4 ::v153(){vfunc_called(this, "_ZN6S929C44v153Ev");}
+void  S929C4 ::v154(){vfunc_called(this, "_ZN6S929C44v154Ev");}
+void  S929C4 ::v155(){vfunc_called(this, "_ZN6S929C44v155Ev");}
+S929C4 ::S929C4(){ note_ctor("S929C4", this);} // tgen
+
+static void Test_S929C4()
+{
+  extern Class_Descriptor cd_S929C4;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(105,159)];
+    init_test(&cd_S929C4, buf);
+    S929C4 *dp, &lv = *(dp=new (buf) S929C4());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(832,632), "sizeof(S929C4)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S929C4)");
+    check_base_class_offset(lv, (S929C5*), 0, "S929C4");
+    check_field_offset(lv, s929c4f0, ABISELECT(64,40), "S929C4.s929c4f0");
+    check_field_offset(lv, s929c4f1, ABISELECT(80,56), "S929C4.s929c4f1");
+    check_field_offset(lv, s929c4f2, ABISELECT(96,72), "S929C4.s929c4f2");
+    check_field_offset(lv, s929c4f3, ABISELECT(112,88), "S929C4.s929c4f3");
+    check_field_offset(lv, s929c4f4, ABISELECT(128,104), "S929C4.s929c4f4");
+    check_field_offset(lv, s929c4f5, ABISELECT(144,120), "S929C4.s929c4f5");
+    check_field_offset(lv, s929c4f6, ABISELECT(208,184), "S929C4.s929c4f6");
+    check_field_offset(lv, s929c4f7, ABISELECT(272,248), "S929C4.s929c4f7");
+    check_field_offset(lv, s929c4f8, ABISELECT(288,264), "S929C4.s929c4f8");
+    check_field_offset(lv, s929c4f9, ABISELECT(304,280), "S929C4.s929c4f9");
+    check_field_offset(lv, s929c4f10, ABISELECT(320,296), "S929C4.s929c4f10");
+    check_field_offset(lv, s929c4f11, ABISELECT(328,300), "S929C4.s929c4f11");
+    check_field_offset(lv, s929c4f12, ABISELECT(344,316), "S929C4.s929c4f12");
+    check_field_offset(lv, s929c4f13, ABISELECT(368,332), "S929C4.s929c4f13");
+    check_field_offset(lv, s929c4f14, ABISELECT(376,336), "S929C4.s929c4f14");
+    check_field_offset(lv, s929c4f15, ABISELECT(432,364), "S929C4.s929c4f15");
+    set_bf_and_test(lv, s929c4f16, ABISELECT(448,376), 0, 8, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f16, ABISELECT(448,376), 0, 8, hide_sll(1LL<<7), "S929C4");
+    set_bf_and_test(lv, s929c4f17, ABISELECT(449,377), 0, 8, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f17, ABISELECT(449,377), 0, 8, hide_sll(1LL<<7), "S929C4");
+    set_bf_and_test(lv, s929c4f18, ABISELECT(450,378), 0, 16, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f18, ABISELECT(450,378), 0, 16, hide_sll(1LL<<15), "S929C4");
+    set_bf_and_test(lv, s929c4f19, ABISELECT(452,380), 0, 16, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f19, ABISELECT(452,380), 0, 16, hide_sll(1LL<<15), "S929C4");
+    set_bf_and_test(lv, s929c4f20, ABISELECT(454,382), 0, 8, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f20, ABISELECT(454,382), 0, 8, hide_sll(1LL<<7), "S929C4");
+    set_bf_and_test(lv, s929c4f21, ABISELECT(455,383), 0, 8, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f21, ABISELECT(455,383), 0, 8, hide_sll(1LL<<7), "S929C4");
+    set_bf_and_test(lv, s929c4f22, ABISELECT(456,384), 0, 8, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f22, ABISELECT(456,384), 0, 8, hide_sll(1LL<<7), "S929C4");
+    set_bf_and_test(lv, s929c4f23, ABISELECT(460,388), 0, 32, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f23, ABISELECT(460,388), 0, 32, hide_sll(1LL<<31), "S929C4");
+    set_bf_and_test(lv, s929c4f24, ABISELECT(464,392), 0, 8, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f24, ABISELECT(464,392), 0, 8, hide_sll(1LL<<7), "S929C4");
+    set_bf_and_test(lv, s929c4f25, ABISELECT(465,393), 0, 4, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f25, ABISELECT(465,393), 0, 4, hide_sll(1LL<<3), "S929C4");
+    set_bf_and_test(lv, s929c4f26, ABISELECT(465,393), 4, 4, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f26, ABISELECT(465,393), 4, 4, hide_sll(1LL<<3), "S929C4");
+    set_bf_and_test(lv, s929c4f27, ABISELECT(466,394), 0, 4, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f27, ABISELECT(466,394), 0, 4, hide_sll(1LL<<3), "S929C4");
+    set_bf_and_test(lv, s929c4f28, ABISELECT(466,394), 4, 4, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f28, ABISELECT(466,394), 4, 4, hide_sll(1LL<<3), "S929C4");
+    set_bf_and_test(lv, s929c4f29, ABISELECT(467,395), 0, 4, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f29, ABISELECT(467,395), 0, 4, hide_sll(1LL<<3), "S929C4");
+    set_bf_and_test(lv, s929c4f30, ABISELECT(467,395), 4, 4, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f30, ABISELECT(467,395), 4, 4, hide_sll(1LL<<3), "S929C4");
+    set_bf_and_test(lv, s929c4f31, ABISELECT(468,396), 0, 4, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f31, ABISELECT(468,396), 0, 4, hide_sll(1LL<<3), "S929C4");
+    set_bf_and_test(lv, s929c4f32, ABISELECT(468,396), 4, 4, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f32, ABISELECT(468,396), 4, 4, hide_sll(1LL<<3), "S929C4");
+    set_bf_and_test(lv, s929c4f33, ABISELECT(469,397), 0, 4, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f33, ABISELECT(469,397), 0, 4, hide_sll(1LL<<3), "S929C4");
+    set_bf_and_test(lv, s929c4f34, ABISELECT(469,397), 4, 4, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f34, ABISELECT(469,397), 4, 4, hide_sll(1LL<<3), "S929C4");
+    set_bf_and_test(lv, s929c4f35, ABISELECT(470,398), 0, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f36, ABISELECT(470,398), 1, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f37, ABISELECT(470,398), 2, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f38, ABISELECT(470,398), 3, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f39, ABISELECT(470,398), 4, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f40, ABISELECT(470,398), 5, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f41, ABISELECT(470,398), 6, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f42, ABISELECT(470,398), 7, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f43, ABISELECT(471,399), 0, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f44, ABISELECT(471,399), 1, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f45, ABISELECT(471,399), 2, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f46, ABISELECT(471,399), 3, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f47, ABISELECT(471,399), 4, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f48, ABISELECT(471,399), 5, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f49, ABISELECT(471,399), 6, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f50, ABISELECT(471,399), 7, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f51, ABISELECT(472,400), 0, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f52, ABISELECT(472,400), 1, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f53, ABISELECT(472,400), 2, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f54, ABISELECT(472,400), 3, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f55, ABISELECT(472,400), 4, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f56, ABISELECT(472,400), 5, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f57, ABISELECT(472,400), 6, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f58, ABISELECT(472,400), 7, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f59, ABISELECT(473,401), 0, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f60, ABISELECT(473,401), 1, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f61, ABISELECT(473,401), 2, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f62, ABISELECT(473,401), 3, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f63, ABISELECT(473,401), 4, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f64, ABISELECT(473,401), 5, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f65, ABISELECT(473,401), 6, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f66, ABISELECT(473,401), 7, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f67, ABISELECT(474,402), 0, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f68, ABISELECT(474,402), 1, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f69, ABISELECT(474,402), 2, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f70, ABISELECT(474,402), 3, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f71, ABISELECT(474,402), 4, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f72, ABISELECT(474,402), 5, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f73, ABISELECT(474,402), 6, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f74, ABISELECT(474,402), 7, 1, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f75, ABISELECT(475,403), 0, 1, 1, "S929C4");
+    check_field_offset(lv, s929c4f76, ABISELECT(476,404), "S929C4.s929c4f76");
+    check_field_offset(lv, s929c4f77, ABISELECT(504,432), "S929C4.s929c4f77");
+    set_bf_and_test(lv, s929c4f78, ABISELECT(552,456), 0, 8, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f78, ABISELECT(552,456), 0, 8, hide_sll(1LL<<7), "S929C4");
+    set_bf_and_test(lv, s929c4f79, ABISELECT(553,457), 0, 8, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f79, ABISELECT(553,457), 0, 8, hide_sll(1LL<<7), "S929C4");
+    set_bf_and_test(lv, s929c4f80, ABISELECT(554,458), 0, 8, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f80, ABISELECT(554,458), 0, 8, hide_sll(1LL<<7), "S929C4");
+    set_bf_and_test(lv, s929c4f81, ABISELECT(555,459), 0, 8, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f81, ABISELECT(555,459), 0, 8, hide_sll(1LL<<7), "S929C4");
+    set_bf_and_test(lv, s929c4f82, ABISELECT(556,460), 0, 8, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f82, ABISELECT(556,460), 0, 8, hide_sll(1LL<<7), "S929C4");
+    set_bf_and_test(lv, s929c4f83, ABISELECT(557,461), 0, 8, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f83, ABISELECT(557,461), 0, 8, hide_sll(1LL<<7), "S929C4");
+    check_field_offset(lv, s929c4f84, ABISELECT(560,464), "S929C4.s929c4f84");
+    check_field_offset(lv, s929c4f85, ABISELECT(576,476), "S929C4.s929c4f85");
+    set_bf_and_test(lv, s929c4f86, ABISELECT(608,492), 0, 8, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f86, ABISELECT(608,492), 0, 8, hide_sll(1LL<<7), "S929C4");
+    set_bf_and_test(lv, s929c4f87, ABISELECT(609,493), 0, 8, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f87, ABISELECT(609,493), 0, 8, hide_sll(1LL<<7), "S929C4");
+    set_bf_and_test(lv, s929c4f88, ABISELECT(610,494), 0, 8, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f88, ABISELECT(610,494), 0, 8, hide_sll(1LL<<7), "S929C4");
+    set_bf_and_test(lv, s929c4f89, ABISELECT(611,495), 0, 8, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f89, ABISELECT(611,495), 0, 8, hide_sll(1LL<<7), "S929C4");
+    set_bf_and_test(lv, s929c4f90, ABISELECT(612,496), 0, 8, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f90, ABISELECT(612,496), 0, 8, hide_sll(1LL<<7), "S929C4");
+    set_bf_and_test(lv, s929c4f91, ABISELECT(613,497), 0, 16, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f91, ABISELECT(613,497), 0, 16, hide_sll(1LL<<15), "S929C4");
+    set_bf_and_test(lv, s929c4f92, ABISELECT(616,500), 0, 16, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f92, ABISELECT(616,500), 0, 16, hide_sll(1LL<<15), "S929C4");
+    set_bf_and_test(lv, s929c4f93, ABISELECT(618,502), 0, 16, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f93, ABISELECT(618,502), 0, 16, hide_sll(1LL<<15), "S929C4");
+    set_bf_and_test(lv, s929c4f94, ABISELECT(620,504), 0, 4, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f94, ABISELECT(620,504), 0, 4, hide_sll(1LL<<3), "S929C4");
+    set_bf_and_test(lv, s929c4f95, ABISELECT(620,504), 4, 4, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f95, ABISELECT(620,504), 4, 4, hide_sll(1LL<<3), "S929C4");
+    set_bf_and_test(lv, s929c4f96, ABISELECT(621,505), 0, 4, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f96, ABISELECT(621,505), 0, 4, hide_sll(1LL<<3), "S929C4");
+    set_bf_and_test(lv, s929c4f97, ABISELECT(621,505), 4, 4, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f97, ABISELECT(621,505), 4, 4, hide_sll(1LL<<3), "S929C4");
+    set_bf_and_test(lv, s929c4f98, ABISELECT(622,506), 0, 4, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f98, ABISELECT(622,506), 0, 4, hide_sll(1LL<<3), "S929C4");
+    set_bf_and_test(lv, s929c4f99, ABISELECT(622,506), 4, 4, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f99, ABISELECT(622,506), 4, 4, hide_sll(1LL<<3), "S929C4");
+    set_bf_and_test(lv, s929c4f100, ABISELECT(623,507), 0, 4, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f100, ABISELECT(623,507), 0, 4, hide_sll(1LL<<3), "S929C4");
+    set_bf_and_test(lv, s929c4f101, ABISELECT(623,507), 4, 4, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f101, ABISELECT(623,507), 4, 4, hide_sll(1LL<<3), "S929C4");
+    set_bf_and_test(lv, s929c4f102, ABISELECT(624,508), 0, 4, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f102, ABISELECT(624,508), 0, 4, hide_sll(1LL<<3), "S929C4");
+    set_bf_and_test(lv, s929c4f103, ABISELECT(624,508), 4, 4, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f103, ABISELECT(624,508), 4, 4, hide_sll(1LL<<3), "S929C4");
+    set_bf_and_test(lv, s929c4f104, ABISELECT(625,509), 0, 4, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f104, ABISELECT(625,509), 0, 4, hide_sll(1LL<<3), "S929C4");
+    set_bf_and_test(lv, s929c4f105, ABISELECT(625,509), 4, 4, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f105, ABISELECT(625,509), 4, 4, hide_sll(1LL<<3), "S929C4");
+    set_bf_and_test(lv, s929c4f106, ABISELECT(626,510), 0, 8, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f106, ABISELECT(626,510), 0, 8, hide_sll(1LL<<7), "S929C4");
+    set_bf_and_test(lv, s929c4f107, ABISELECT(627,511), 0, 8, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f107, ABISELECT(627,511), 0, 8, hide_sll(1LL<<7), "S929C4");
+    set_bf_and_test(lv, s929c4f108, ABISELECT(628,512), 0, 8, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f108, ABISELECT(628,512), 0, 8, hide_sll(1LL<<7), "S929C4");
+    check_field_offset(lv, s929c4f109, ABISELECT(632,516), "S929C4.s929c4f109");
+    check_field_offset(lv, s929c4f110, ABISELECT(688,544), "S929C4.s929c4f110");
+    check_field_offset(lv, s929c4f111, ABISELECT(712,560), "S929C4.s929c4f111");
+    set_bf_and_test(lv, s929c4f112, ABISELECT(728,568), 0, 16, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f112, ABISELECT(728,568), 0, 16, hide_sll(1LL<<15), "S929C4");
+    set_bf_and_test(lv, s929c4f113, ABISELECT(730,570), 0, 16, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f113, ABISELECT(730,570), 0, 16, hide_sll(1LL<<15), "S929C4");
+    check_field_offset(lv, s929c4f114, ABISELECT(736,572), "S929C4.s929c4f114");
+    check_field_offset(lv, s929c4f115, ABISELECT(752,584), "S929C4.s929c4f115");
+    check_field_offset(lv, s929c4f116, ABISELECT(776,600), "S929C4.s929c4f116");
+    check_field_offset(lv, s929c4f117, ABISELECT(800,616), "S929C4.s929c4f117");
+    set_bf_and_test(lv, s929c4f118, ABISELECT(816,624), 0, 24, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f118, ABISELECT(816,624), 0, 24, hide_sll(1LL<<23), "S929C4");
+    set_bf_and_test(lv, s929c4f119, ABISELECT(819,627), 0, 8, 1, "S929C4");
+    set_bf_and_test(lv, s929c4f119, ABISELECT(819,627), 0, 8, hide_sll(1LL<<7), "S929C4");
+    check_field_offset(lv, s929c4f120, ABISELECT(824,628), "S929C4.s929c4f120");
+    test_class_info(&lv, &cd_S929C4);
+    dp->~S929C4();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS929C4(Test_S929C4, "S929C4", ABISELECT(832,632));
+
+#else // __cplusplus
+
+extern void _ZN6S929C4C1Ev();
+extern void _ZN6S929C4D1Ev();
+Name_Map name_map_S929C4[] = {
+  NSPAIR(_ZN6S929C4C1Ev),
+  NSPAIR(_ZN6S929C4D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S929C5;
+extern VTBL_ENTRY _ZTI6S929C5[];
+extern  VTBL_ENTRY _ZTV6S929C5[];
+static Base_Class bases_S929C4[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S929C5,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI6S929C4[];
+extern void _ZN6S929C4D1Ev();
+extern void _ZN6S929C4D0Ev();
+extern void _ZN6S929C53v55Ev();
+extern void _ZN6S929C53v56Ev();
+extern void _ZN6S929C53v57Ev();
+extern void _ZN6S929C53v58Ev();
+extern void _ZN6S929C53v59Ev();
+extern void _ZN6S929C53v60Ev();
+extern void _ZN6S929C53v61Ev();
+extern void _ZN6S929C53v62Ev();
+extern void _ZN6S929C53v63Ev();
+extern void _ZN6S929C53v64Ev();
+extern void _ZN6S929C53v65Ev();
+extern void _ZN6S929C53v66Ev();
+extern void _ZN6S929C53v67Ev();
+extern void _ZN6S929C53v68Ev();
+extern void _ZN6S929C53v69Ev();
+extern void _ZN6S929C53v70Ev();
+extern void _ZN6S929C53v71Ev();
+extern void _ZN6S929C53v72Ev();
+extern void _ZN6S929C53v73Ev();
+extern void _ZN6S929C53v74Ev();
+extern void _ZN6S929C53v75Ev();
+extern void _ZN6S929C53v76Ev();
+extern void _ZN6S929C53v77Ev();
+extern void _ZN6S929C43v78Ev();
+extern void _ZN6S929C43v79Ev();
+extern void _ZN6S929C43v80Ev();
+extern void _ZN6S929C43v81Ev();
+extern void _ZN6S929C43v82Ev();
+extern void _ZN6S929C43v83Ev();
+extern void _ZN6S929C43v84Ev();
+extern void _ZN6S929C43v85Ev();
+extern void _ZN6S929C43v86Ev();
+extern void _ZN6S929C43v87Ev();
+extern void _ZN6S929C43v88Ev();
+extern void _ZN6S929C43v89Ev();
+extern void _ZN6S929C43v90Ev();
+extern void _ZN6S929C43v91Ev();
+extern void _ZN6S929C43v92Ev();
+extern void _ZN6S929C43v93Ev();
+extern void _ZN6S929C43v94Ev();
+extern void _ZN6S929C43v95Ev();
+extern void _ZN6S929C43v96Ev();
+extern void _ZN6S929C43v97Ev();
+extern void _ZN6S929C43v98Ev();
+extern void _ZN6S929C43v99Ev();
+extern void _ZN6S929C44v100Ev();
+extern void _ZN6S929C44v101Ev();
+extern void _ZN6S929C44v102Ev();
+extern void _ZN6S929C44v103Ev();
+extern void _ZN6S929C44v104Ev();
+extern void _ZN6S929C44v105Ev();
+extern void _ZN6S929C44v106Ev();
+extern void _ZN6S929C44v107Ev();
+extern void _ZN6S929C44v108Ev();
+extern void _ZN6S929C44v109Ev();
+extern void _ZN6S929C44v110Ev();
+extern void _ZN6S929C44v111Ev();
+extern void _ZN6S929C44v112Ev();
+extern void _ZN6S929C44v113Ev();
+extern void _ZN6S929C44v114Ev();
+extern void _ZN6S929C44v115Ev();
+extern void _ZN6S929C44v116Ev();
+extern void _ZN6S929C44v117Ev();
+extern void _ZN6S929C44v118Ev();
+extern void _ZN6S929C44v119Ev();
+extern void _ZN6S929C44v120Ev();
+extern void _ZN6S929C44v121Ev();
+extern void _ZN6S929C44v122Ev();
+extern void _ZN6S929C44v123Ev();
+extern void _ZN6S929C44v124Ev();
+extern void _ZN6S929C44v125Ev();
+extern void _ZN6S929C44v126Ev();
+extern void _ZN6S929C44v127Ev();
+extern void _ZN6S929C44v128Ev();
+extern void _ZN6S929C44v129Ev();
+extern void _ZN6S929C44v130Ev();
+extern void _ZN6S929C44v131Ev();
+extern void _ZN6S929C44v132Ev();
+extern void _ZN6S929C44v133Ev();
+extern void _ZN6S929C44v134Ev();
+extern void _ZN6S929C44v135Ev();
+extern void _ZN6S929C44v136Ev();
+extern void _ZN6S929C44v137Ev();
+extern void _ZN6S929C44v138Ev();
+extern void _ZN6S929C44v139Ev();
+extern void _ZN6S929C44v140Ev();
+extern void _ZN6S929C44v141Ev();
+extern void _ZN6S929C44v142Ev();
+extern void _ZN6S929C44v143Ev();
+extern void _ZN6S929C44v144Ev();
+extern void _ZN6S929C44v145Ev();
+extern void _ZN6S929C44v146Ev();
+extern void _ZN6S929C44v147Ev();
+extern void _ZN6S929C44v148Ev();
+extern void _ZN6S929C44v149Ev();
+extern void _ZN6S929C44v150Ev();
+extern void _ZN6S929C44v151Ev();
+extern void _ZN6S929C44v152Ev();
+extern void _ZN6S929C44v153Ev();
+extern void _ZN6S929C44v154Ev();
+extern void _ZN6S929C44v155Ev();
+static  VTBL_ENTRY vtc_S929C4[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S929C4[0]),
+  (VTBL_ENTRY)&_ZN6S929C4D1Ev,
+  (VTBL_ENTRY)&_ZN6S929C4D0Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v55Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v56Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v57Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v58Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v59Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v60Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v61Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v62Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v63Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v64Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v65Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v77Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v78Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v79Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v80Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v81Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v82Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v83Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v84Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v85Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v86Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v87Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v88Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v89Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v90Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v91Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v92Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v93Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v94Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v95Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v96Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v97Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v98Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v99Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v100Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v101Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v102Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v103Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v104Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v105Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v106Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v107Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v108Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v109Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v110Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v111Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v112Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v113Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v114Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v115Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v116Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v117Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v118Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v119Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v120Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v121Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v122Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v123Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v124Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v125Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v126Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v127Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v128Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v129Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v130Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v131Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v132Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v133Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v134Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v135Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v136Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v137Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v138Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v139Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v140Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v141Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v142Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v143Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v144Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v145Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v146Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v147Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v148Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v149Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v150Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v151Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v152Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v153Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v154Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v155Ev,
+};
+extern VTBL_ENTRY _ZTI6S929C4[];
+extern  VTBL_ENTRY _ZTV6S929C4[];
+Class_Descriptor cd_S929C4 = {  "S929C4", // class name
+  bases_S929C4, 1,
+  &(vtc_S929C4[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(832,632), // object size
+  NSPAIRA(_ZTI6S929C4),ABISELECT(24,12), //typeinfo_var
+  NSPAIRA(_ZTV6S929C4),105, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  1, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S929C3  : S929C4 {
+  int s929c3f0[2];
+  ::S938C40_nt s929c3f1;
+  void *s929c3f2[4];
+  ::S938C60 s929c3f3;
+  ::S938C60 s929c3f4;
+  ::S938C60 s929c3f5;
+  ::S938C40_nt s929c3f6;
+  ::S938C60 s929c3f7;
+  ::S938C40_nt s929c3f8;
+  ::S938C40_nt s929c3f9;
+  ::S938C60 s929c3f10;
+  ::S938C60 s929c3f11;
+  ::S938C60 s929c3f12;
+  ::S938C40_nt s929c3f13;
+  ::S938C60 s929c3f14;
+  ::S938C60 s929c3f15;
+  int s929c3f16[7];
+  ::S938C18 s929c3f17;
+  ::S938C40_nt s929c3f18;
+  ::S938C40_nt s929c3f19;
+  ::S938C40_nt s929c3f20;
+  void *s929c3f21;
+  int s929c3f22;
+  void *s929c3f23[4];
+  char s929c3f24[2];
+  ::S938C46 s929c3f25;
+  int s929c3f26[8];
+  char s929c3f27[6];
+  int s929c3f28;
+  char s929c3f29;
+  int s929c3f30[2];
+  char s929c3f31[2];
+  virtual  ~S929C3(); // _ZN6S929C3D1Ev
+  virtual void  v156(); // _ZN6S929C34v156Ev
+  virtual void  v157(); // _ZN6S929C34v157Ev
+  virtual void  v158(); // _ZN6S929C34v158Ev
+  virtual void  v159(); // _ZN6S929C34v159Ev
+  virtual void  v160(); // _ZN6S929C34v160Ev
+  virtual void  v161(); // _ZN6S929C34v161Ev
+  virtual void  v162(); // _ZN6S929C34v162Ev
+  virtual void  v163(); // _ZN6S929C34v163Ev
+  virtual void  v164(); // _ZN6S929C34v164Ev
+  virtual void  v165(); // _ZN6S929C34v165Ev
+  virtual void  v166(); // _ZN6S929C34v166Ev
+  virtual void  v167(); // _ZN6S929C34v167Ev
+  virtual void  v168(); // _ZN6S929C34v168Ev
+  virtual void  v169(); // _ZN6S929C34v169Ev
+  virtual void  v170(); // _ZN6S929C34v170Ev
+  virtual void  v171(); // _ZN6S929C34v171Ev
+  virtual void  v172(); // _ZN6S929C34v172Ev
+  virtual void  v173(); // _ZN6S929C34v173Ev
+  virtual void  v174(); // _ZN6S929C34v174Ev
+  virtual void  v175(); // _ZN6S929C34v175Ev
+  virtual void  v176(); // _ZN6S929C34v176Ev
+  virtual void  v177(); // _ZN6S929C34v177Ev
+  virtual void  v178(); // _ZN6S929C34v178Ev
+  virtual void  v179(); // _ZN6S929C34v179Ev
+  virtual void  v180(); // _ZN6S929C34v180Ev
+  virtual void  v181(); // _ZN6S929C34v181Ev
+  virtual void  v182(); // _ZN6S929C34v182Ev
+  virtual void  v183(); // _ZN6S929C34v183Ev
+  virtual void  v184(); // _ZN6S929C34v184Ev
+  virtual void  v185(); // _ZN6S929C34v185Ev
+  virtual void  v186(); // _ZN6S929C34v186Ev
+  virtual void  v187(); // _ZN6S929C34v187Ev
+  virtual void  v188(); // _ZN6S929C34v188Ev
+  virtual void  v189(); // _ZN6S929C34v189Ev
+  virtual void  v190(); // _ZN6S929C34v190Ev
+  virtual void  v191(); // _ZN6S929C34v191Ev
+  virtual void  v192(); // _ZN6S929C34v192Ev
+  virtual void  v193(); // _ZN6S929C34v193Ev
+  virtual void  v194(); // _ZN6S929C34v194Ev
+  virtual void  v195(); // _ZN6S929C34v195Ev
+  virtual void  v196(); // _ZN6S929C34v196Ev
+  virtual void  v197(); // _ZN6S929C34v197Ev
+  virtual void  v198(); // _ZN6S929C34v198Ev
+  virtual void  v199(); // _ZN6S929C34v199Ev
+  virtual void  v200(); // _ZN6S929C34v200Ev
+  virtual void  v201(); // _ZN6S929C34v201Ev
+  virtual void  v202(); // _ZN6S929C34v202Ev
+  virtual void  v203(); // _ZN6S929C34v203Ev
+  virtual void  v204(); // _ZN6S929C34v204Ev
+  virtual void  v205(); // _ZN6S929C34v205Ev
+  virtual void  v206(); // _ZN6S929C34v206Ev
+  virtual void  v207(); // _ZN6S929C34v207Ev
+  virtual void  v208(); // _ZN6S929C34v208Ev
+  virtual void  v209(); // _ZN6S929C34v209Ev
+  virtual void  v210(); // _ZN6S929C34v210Ev
+  virtual void  v211(); // _ZN6S929C34v211Ev
+  virtual void  v212(); // _ZN6S929C34v212Ev
+  virtual void  v213(); // _ZN6S929C34v213Ev
+  virtual void  v214(); // _ZN6S929C34v214Ev
+  virtual void  v215(); // _ZN6S929C34v215Ev
+  virtual void  v216(); // _ZN6S929C34v216Ev
+  virtual void  v217(); // _ZN6S929C34v217Ev
+  virtual void  v218(); // _ZN6S929C34v218Ev
+  virtual void  v219(); // _ZN6S929C34v219Ev
+  virtual void  v220(); // _ZN6S929C34v220Ev
+  virtual void  v221(); // _ZN6S929C34v221Ev
+  virtual void  v222(); // _ZN6S929C34v222Ev
+  virtual void  v223(); // _ZN6S929C34v223Ev
+  virtual void  v224(); // _ZN6S929C34v224Ev
+  virtual void  v225(); // _ZN6S929C34v225Ev
+  virtual void  v226(); // _ZN6S929C34v226Ev
+  virtual void  v227(); // _ZN6S929C34v227Ev
+  virtual void  v228(); // _ZN6S929C34v228Ev
+  virtual void  v229(); // _ZN6S929C34v229Ev
+  virtual void  v230(); // _ZN6S929C34v230Ev
+  virtual void  v231(); // _ZN6S929C34v231Ev
+  virtual void  v232(); // _ZN6S929C34v232Ev
+  virtual void  v233(); // _ZN6S929C34v233Ev
+  virtual void  v234(); // _ZN6S929C34v234Ev
+  virtual void  v235(); // _ZN6S929C34v235Ev
+  virtual void  v236(); // _ZN6S929C34v236Ev
+  virtual void  v237(); // _ZN6S929C34v237Ev
+  virtual void  v238(); // _ZN6S929C34v238Ev
+  virtual void  v239(); // _ZN6S929C34v239Ev
+  virtual void  v240(); // _ZN6S929C34v240Ev
+  virtual void  v241(); // _ZN6S929C34v241Ev
+  S929C3(); // tgen
+};
+//SIG(-1 S929C3) C1{ BC2{ BC3{ vd v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 v102 v103 v104 v105 v106 v107 v108 v109 Fp Fi FC4{ BC5{ m Fp Fi FC6{ m} FC7{ m}}} FC8{ BC9{ m Fp Fi FC10{ m} FC11{ m}}} Fi} vd v110 v111 v112 v113 v114 v115 v116 v117 v118 v119 v120 v121 v122 v123 v124 v125 v126 v127 v128 v129 v130 v131 v132 v133 v134 v135 v136 v137 v138 v139 v140 v141 v142 v143 v144 v145 v146 v147 v148 v149 v150 v151 v152 v153 v154 v155 v156 v157 v158 v159 v160 v161 v162 v163 v164 v165 v166 v167 v168 v169 v170 v171 v172 v173 v174 v175 v176 v177 v178 v179 v180 v181 v182 v183 v184 v185 v186 v187 FC12{ m FC13{ m FL[2]}} FC12 FC12 FC14{ m FC13} FC15{ m FC14} FC16{ m FC14 FC14 FC14 FC14} FC16 FC12 FC12 FC12 Fp FC15 FC17{ m FC18{ m Fp Fi FC19{ m}} Fi} Fi Fp[7] FC20{ m Fp Fi FC7 FC21{ m}} Fi:8 Fi:8 Fi:16 Fi:16 Fi:8 Fi:8 Fi:8 Fi:32 Fi:8 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 !
 Fc:1 Fc:1
  Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fi[7] Fp[6] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 FC22{ BC23{ m Fp Fi FC24{ m} FC24}} Fp[4] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:16 Fi:16 Fi:16 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:8 Fi:8 Fi:8 Fp[7] FC25{ m Fp Fi[2] FC6} Fp[2] Fi:16 Fi:16 FC26{ BC9} FC27{ m Fp Fi[2] FC11} FC28{ m Fp Fi[2] FC21} Fp[2] Fi:24 Fi:8 Fp} vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53 v54 v55 v56 v57 v58 v59 v60 v61 v62 v63 v64 v65 v66 v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 v78 v79 v80 v81 v82 v83 v84 v85 v86 Fi[2] FC29{ m FC30{ m FL}} Fp[4] FC12 FC12 FC12 FC29 FC12 FC29 FC29 FC12 FC12 FC12 FC29 FC12 FC12 Fi[7] FC15 FC29 FC29 FC29 Fp Fi Fp[4] Fc[2] FC5 Fi[8] Fc[6] Fi Fc Fi[2] Fc[2]}
+
+
+ S929C3 ::~S929C3(){ note_dtor("S929C3", this);}
+void  S929C3 ::v156(){vfunc_called(this, "_ZN6S929C34v156Ev");}
+void  S929C3 ::v157(){vfunc_called(this, "_ZN6S929C34v157Ev");}
+void  S929C3 ::v158(){vfunc_called(this, "_ZN6S929C34v158Ev");}
+void  S929C3 ::v159(){vfunc_called(this, "_ZN6S929C34v159Ev");}
+void  S929C3 ::v160(){vfunc_called(this, "_ZN6S929C34v160Ev");}
+void  S929C3 ::v161(){vfunc_called(this, "_ZN6S929C34v161Ev");}
+void  S929C3 ::v162(){vfunc_called(this, "_ZN6S929C34v162Ev");}
+void  S929C3 ::v163(){vfunc_called(this, "_ZN6S929C34v163Ev");}
+void  S929C3 ::v164(){vfunc_called(this, "_ZN6S929C34v164Ev");}
+void  S929C3 ::v165(){vfunc_called(this, "_ZN6S929C34v165Ev");}
+void  S929C3 ::v166(){vfunc_called(this, "_ZN6S929C34v166Ev");}
+void  S929C3 ::v167(){vfunc_called(this, "_ZN6S929C34v167Ev");}
+void  S929C3 ::v168(){vfunc_called(this, "_ZN6S929C34v168Ev");}
+void  S929C3 ::v169(){vfunc_called(this, "_ZN6S929C34v169Ev");}
+void  S929C3 ::v170(){vfunc_called(this, "_ZN6S929C34v170Ev");}
+void  S929C3 ::v171(){vfunc_called(this, "_ZN6S929C34v171Ev");}
+void  S929C3 ::v172(){vfunc_called(this, "_ZN6S929C34v172Ev");}
+void  S929C3 ::v173(){vfunc_called(this, "_ZN6S929C34v173Ev");}
+void  S929C3 ::v174(){vfunc_called(this, "_ZN6S929C34v174Ev");}
+void  S929C3 ::v175(){vfunc_called(this, "_ZN6S929C34v175Ev");}
+void  S929C3 ::v176(){vfunc_called(this, "_ZN6S929C34v176Ev");}
+void  S929C3 ::v177(){vfunc_called(this, "_ZN6S929C34v177Ev");}
+void  S929C3 ::v178(){vfunc_called(this, "_ZN6S929C34v178Ev");}
+void  S929C3 ::v179(){vfunc_called(this, "_ZN6S929C34v179Ev");}
+void  S929C3 ::v180(){vfunc_called(this, "_ZN6S929C34v180Ev");}
+void  S929C3 ::v181(){vfunc_called(this, "_ZN6S929C34v181Ev");}
+void  S929C3 ::v182(){vfunc_called(this, "_ZN6S929C34v182Ev");}
+void  S929C3 ::v183(){vfunc_called(this, "_ZN6S929C34v183Ev");}
+void  S929C3 ::v184(){vfunc_called(this, "_ZN6S929C34v184Ev");}
+void  S929C3 ::v185(){vfunc_called(this, "_ZN6S929C34v185Ev");}
+void  S929C3 ::v186(){vfunc_called(this, "_ZN6S929C34v186Ev");}
+void  S929C3 ::v187(){vfunc_called(this, "_ZN6S929C34v187Ev");}
+void  S929C3 ::v188(){vfunc_called(this, "_ZN6S929C34v188Ev");}
+void  S929C3 ::v189(){vfunc_called(this, "_ZN6S929C34v189Ev");}
+void  S929C3 ::v190(){vfunc_called(this, "_ZN6S929C34v190Ev");}
+void  S929C3 ::v191(){vfunc_called(this, "_ZN6S929C34v191Ev");}
+void  S929C3 ::v192(){vfunc_called(this, "_ZN6S929C34v192Ev");}
+void  S929C3 ::v193(){vfunc_called(this, "_ZN6S929C34v193Ev");}
+void  S929C3 ::v194(){vfunc_called(this, "_ZN6S929C34v194Ev");}
+void  S929C3 ::v195(){vfunc_called(this, "_ZN6S929C34v195Ev");}
+void  S929C3 ::v196(){vfunc_called(this, "_ZN6S929C34v196Ev");}
+void  S929C3 ::v197(){vfunc_called(this, "_ZN6S929C34v197Ev");}
+void  S929C3 ::v198(){vfunc_called(this, "_ZN6S929C34v198Ev");}
+void  S929C3 ::v199(){vfunc_called(this, "_ZN6S929C34v199Ev");}
+void  S929C3 ::v200(){vfunc_called(this, "_ZN6S929C34v200Ev");}
+void  S929C3 ::v201(){vfunc_called(this, "_ZN6S929C34v201Ev");}
+void  S929C3 ::v202(){vfunc_called(this, "_ZN6S929C34v202Ev");}
+void  S929C3 ::v203(){vfunc_called(this, "_ZN6S929C34v203Ev");}
+void  S929C3 ::v204(){vfunc_called(this, "_ZN6S929C34v204Ev");}
+void  S929C3 ::v205(){vfunc_called(this, "_ZN6S929C34v205Ev");}
+void  S929C3 ::v206(){vfunc_called(this, "_ZN6S929C34v206Ev");}
+void  S929C3 ::v207(){vfunc_called(this, "_ZN6S929C34v207Ev");}
+void  S929C3 ::v208(){vfunc_called(this, "_ZN6S929C34v208Ev");}
+void  S929C3 ::v209(){vfunc_called(this, "_ZN6S929C34v209Ev");}
+void  S929C3 ::v210(){vfunc_called(this, "_ZN6S929C34v210Ev");}
+void  S929C3 ::v211(){vfunc_called(this, "_ZN6S929C34v211Ev");}
+void  S929C3 ::v212(){vfunc_called(this, "_ZN6S929C34v212Ev");}
+void  S929C3 ::v213(){vfunc_called(this, "_ZN6S929C34v213Ev");}
+void  S929C3 ::v214(){vfunc_called(this, "_ZN6S929C34v214Ev");}
+void  S929C3 ::v215(){vfunc_called(this, "_ZN6S929C34v215Ev");}
+void  S929C3 ::v216(){vfunc_called(this, "_ZN6S929C34v216Ev");}
+void  S929C3 ::v217(){vfunc_called(this, "_ZN6S929C34v217Ev");}
+void  S929C3 ::v218(){vfunc_called(this, "_ZN6S929C34v218Ev");}
+void  S929C3 ::v219(){vfunc_called(this, "_ZN6S929C34v219Ev");}
+void  S929C3 ::v220(){vfunc_called(this, "_ZN6S929C34v220Ev");}
+void  S929C3 ::v221(){vfunc_called(this, "_ZN6S929C34v221Ev");}
+void  S929C3 ::v222(){vfunc_called(this, "_ZN6S929C34v222Ev");}
+void  S929C3 ::v223(){vfunc_called(this, "_ZN6S929C34v223Ev");}
+void  S929C3 ::v224(){vfunc_called(this, "_ZN6S929C34v224Ev");}
+void  S929C3 ::v225(){vfunc_called(this, "_ZN6S929C34v225Ev");}
+void  S929C3 ::v226(){vfunc_called(this, "_ZN6S929C34v226Ev");}
+void  S929C3 ::v227(){vfunc_called(this, "_ZN6S929C34v227Ev");}
+void  S929C3 ::v228(){vfunc_called(this, "_ZN6S929C34v228Ev");}
+void  S929C3 ::v229(){vfunc_called(this, "_ZN6S929C34v229Ev");}
+void  S929C3 ::v230(){vfunc_called(this, "_ZN6S929C34v230Ev");}
+void  S929C3 ::v231(){vfunc_called(this, "_ZN6S929C34v231Ev");}
+void  S929C3 ::v232(){vfunc_called(this, "_ZN6S929C34v232Ev");}
+void  S929C3 ::v233(){vfunc_called(this, "_ZN6S929C34v233Ev");}
+void  S929C3 ::v234(){vfunc_called(this, "_ZN6S929C34v234Ev");}
+void  S929C3 ::v235(){vfunc_called(this, "_ZN6S929C34v235Ev");}
+void  S929C3 ::v236(){vfunc_called(this, "_ZN6S929C34v236Ev");}
+void  S929C3 ::v237(){vfunc_called(this, "_ZN6S929C34v237Ev");}
+void  S929C3 ::v238(){vfunc_called(this, "_ZN6S929C34v238Ev");}
+void  S929C3 ::v239(){vfunc_called(this, "_ZN6S929C34v239Ev");}
+void  S929C3 ::v240(){vfunc_called(this, "_ZN6S929C34v240Ev");}
+void  S929C3 ::v241(){vfunc_called(this, "_ZN6S929C34v241Ev");}
+S929C3 ::S929C3(){ note_ctor("S929C3", this);} // tgen
+
+static void Test_S929C3()
+{
+  extern Class_Descriptor cd_S929C3;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(159,253)];
+    init_test(&cd_S929C3, buf);
+    S929C3 *dp, &lv = *(dp=new (buf) S929C3());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(1264,1008), "sizeof(S929C3)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S929C3)");
+    check_base_class_offset(lv, (S929C5*)(S929C4*), 0, "S929C3");
+    check_base_class_offset(lv, (S929C4*), 0, "S929C3");
+    check_field_offset(lv, s929c3f0, ABISELECT(832,632), "S929C3.s929c3f0");
+    check_field_offset(lv, s929c3f1, ABISELECT(840,640), "S929C3.s929c3f1");
+    check_field_offset(lv, s929c3f2, ABISELECT(848,648), "S929C3.s929c3f2");
+    check_field_offset(lv, s929c3f3, ABISELECT(880,664), "S929C3.s929c3f3");
+    check_field_offset(lv, s929c3f4, ABISELECT(896,680), "S929C3.s929c3f4");
+    check_field_offset(lv, s929c3f5, ABISELECT(912,696), "S929C3.s929c3f5");
+    check_field_offset(lv, s929c3f6, ABISELECT(928,712), "S929C3.s929c3f6");
+    check_field_offset(lv, s929c3f7, ABISELECT(936,720), "S929C3.s929c3f7");
+    check_field_offset(lv, s929c3f8, ABISELECT(952,736), "S929C3.s929c3f8");
+    check_field_offset(lv, s929c3f9, ABISELECT(960,744), "S929C3.s929c3f9");
+    check_field_offset(lv, s929c3f10, ABISELECT(968,752), "S929C3.s929c3f10");
+    check_field_offset(lv, s929c3f11, ABISELECT(984,768), "S929C3.s929c3f11");
+    check_field_offset(lv, s929c3f12, ABISELECT(1000,784), "S929C3.s929c3f12");
+    check_field_offset(lv, s929c3f13, ABISELECT(1016,800), "S929C3.s929c3f13");
+    check_field_offset(lv, s929c3f14, ABISELECT(1024,808), "S929C3.s929c3f14");
+    check_field_offset(lv, s929c3f15, ABISELECT(1040,824), "S929C3.s929c3f15");
+    check_field_offset(lv, s929c3f16, ABISELECT(1056,840), "S929C3.s929c3f16");
+    check_field_offset(lv, s929c3f17, ABISELECT(1088,868), "S929C3.s929c3f17");
+    check_field_offset(lv, s929c3f18, ABISELECT(1104,884), "S929C3.s929c3f18");
+    check_field_offset(lv, s929c3f19, ABISELECT(1112,892), "S929C3.s929c3f19");
+    check_field_offset(lv, s929c3f20, ABISELECT(1120,900), "S929C3.s929c3f20");
+    check_field_offset(lv, s929c3f21, ABISELECT(1128,908), "S929C3.s929c3f21");
+    check_field_offset(lv, s929c3f22, ABISELECT(1136,912), "S929C3.s929c3f22");
+    check_field_offset(lv, s929c3f23, ABISELECT(1144,916), "S929C3.s929c3f23");
+    check_field_offset(lv, s929c3f24, ABISELECT(1176,932), "S929C3.s929c3f24");
+    check_field_offset(lv, s929c3f25, ABISELECT(1184,936), "S929C3.s929c3f25");
+    check_field_offset(lv, s929c3f26, ABISELECT(1200,948), "S929C3.s929c3f26");
+    check_field_offset(lv, s929c3f27, ABISELECT(1232,980), "S929C3.s929c3f27");
+    check_field_offset(lv, s929c3f28, ABISELECT(1240,988), "S929C3.s929c3f28");
+    check_field_offset(lv, s929c3f29, ABISELECT(1244,992), "S929C3.s929c3f29");
+    check_field_offset(lv, s929c3f30, ABISELECT(1248,996), "S929C3.s929c3f30");
+    check_field_offset(lv, s929c3f31, ABISELECT(1256,1004), "S929C3.s929c3f31");
+    test_class_info(&lv, &cd_S929C3);
+    dp->~S929C3();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS929C3(Test_S929C3, "S929C3", ABISELECT(1264,1008));
+
+#else // __cplusplus
+
+extern void _ZN6S929C3C1Ev();
+extern void _ZN6S929C3D1Ev();
+Name_Map name_map_S929C3[] = {
+  NSPAIR(_ZN6S929C3C1Ev),
+  NSPAIR(_ZN6S929C3D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S929C5;
+extern VTBL_ENTRY _ZTI6S929C5[];
+extern  VTBL_ENTRY _ZTV6S929C5[];
+extern Class_Descriptor cd_S929C4;
+extern VTBL_ENTRY _ZTI6S929C4[];
+extern  VTBL_ENTRY _ZTV6S929C4[];
+static Base_Class bases_S929C3[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S929C5,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    1, //immediately_derived
+  0, 0},
+  {&cd_S929C4,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    2, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI6S929C3[];
+extern void _ZN6S929C3D1Ev();
+extern void _ZN6S929C3D0Ev();
+extern void _ZN6S929C53v55Ev();
+extern void _ZN6S929C53v56Ev();
+extern void _ZN6S929C53v57Ev();
+extern void _ZN6S929C53v58Ev();
+extern void _ZN6S929C53v59Ev();
+extern void _ZN6S929C53v60Ev();
+extern void _ZN6S929C53v61Ev();
+extern void _ZN6S929C53v62Ev();
+extern void _ZN6S929C53v63Ev();
+extern void _ZN6S929C53v64Ev();
+extern void _ZN6S929C53v65Ev();
+extern void _ZN6S929C53v66Ev();
+extern void _ZN6S929C53v67Ev();
+extern void _ZN6S929C53v68Ev();
+extern void _ZN6S929C53v69Ev();
+extern void _ZN6S929C53v70Ev();
+extern void _ZN6S929C53v71Ev();
+extern void _ZN6S929C53v72Ev();
+extern void _ZN6S929C53v73Ev();
+extern void _ZN6S929C53v74Ev();
+extern void _ZN6S929C53v75Ev();
+extern void _ZN6S929C53v76Ev();
+extern void _ZN6S929C53v77Ev();
+extern void _ZN6S929C43v78Ev();
+extern void _ZN6S929C43v79Ev();
+extern void _ZN6S929C43v80Ev();
+extern void _ZN6S929C43v81Ev();
+extern void _ZN6S929C43v82Ev();
+extern void _ZN6S929C43v83Ev();
+extern void _ZN6S929C43v84Ev();
+extern void _ZN6S929C43v85Ev();
+extern void _ZN6S929C43v86Ev();
+extern void _ZN6S929C43v87Ev();
+extern void _ZN6S929C43v88Ev();
+extern void _ZN6S929C43v89Ev();
+extern void _ZN6S929C43v90Ev();
+extern void _ZN6S929C43v91Ev();
+extern void _ZN6S929C43v92Ev();
+extern void _ZN6S929C43v93Ev();
+extern void _ZN6S929C43v94Ev();
+extern void _ZN6S929C43v95Ev();
+extern void _ZN6S929C43v96Ev();
+extern void _ZN6S929C43v97Ev();
+extern void _ZN6S929C43v98Ev();
+extern void _ZN6S929C43v99Ev();
+extern void _ZN6S929C44v100Ev();
+extern void _ZN6S929C44v101Ev();
+extern void _ZN6S929C44v102Ev();
+extern void _ZN6S929C44v103Ev();
+extern void _ZN6S929C44v104Ev();
+extern void _ZN6S929C44v105Ev();
+extern void _ZN6S929C44v106Ev();
+extern void _ZN6S929C44v107Ev();
+extern void _ZN6S929C44v108Ev();
+extern void _ZN6S929C44v109Ev();
+extern void _ZN6S929C44v110Ev();
+extern void _ZN6S929C44v111Ev();
+extern void _ZN6S929C44v112Ev();
+extern void _ZN6S929C44v113Ev();
+extern void _ZN6S929C44v114Ev();
+extern void _ZN6S929C44v115Ev();
+extern void _ZN6S929C44v116Ev();
+extern void _ZN6S929C44v117Ev();
+extern void _ZN6S929C44v118Ev();
+extern void _ZN6S929C44v119Ev();
+extern void _ZN6S929C44v120Ev();
+extern void _ZN6S929C44v121Ev();
+extern void _ZN6S929C44v122Ev();
+extern void _ZN6S929C44v123Ev();
+extern void _ZN6S929C44v124Ev();
+extern void _ZN6S929C44v125Ev();
+extern void _ZN6S929C44v126Ev();
+extern void _ZN6S929C44v127Ev();
+extern void _ZN6S929C44v128Ev();
+extern void _ZN6S929C44v129Ev();
+extern void _ZN6S929C44v130Ev();
+extern void _ZN6S929C44v131Ev();
+extern void _ZN6S929C44v132Ev();
+extern void _ZN6S929C44v133Ev();
+extern void _ZN6S929C44v134Ev();
+extern void _ZN6S929C44v135Ev();
+extern void _ZN6S929C44v136Ev();
+extern void _ZN6S929C44v137Ev();
+extern void _ZN6S929C44v138Ev();
+extern void _ZN6S929C44v139Ev();
+extern void _ZN6S929C44v140Ev();
+extern void _ZN6S929C44v141Ev();
+extern void _ZN6S929C44v142Ev();
+extern void _ZN6S929C44v143Ev();
+extern void _ZN6S929C44v144Ev();
+extern void _ZN6S929C44v145Ev();
+extern void _ZN6S929C44v146Ev();
+extern void _ZN6S929C44v147Ev();
+extern void _ZN6S929C44v148Ev();
+extern void _ZN6S929C44v149Ev();
+extern void _ZN6S929C44v150Ev();
+extern void _ZN6S929C44v151Ev();
+extern void _ZN6S929C44v152Ev();
+extern void _ZN6S929C44v153Ev();
+extern void _ZN6S929C44v154Ev();
+extern void _ZN6S929C44v155Ev();
+extern void _ZN6S929C34v156Ev();
+extern void _ZN6S929C34v157Ev();
+extern void _ZN6S929C34v158Ev();
+extern void _ZN6S929C34v159Ev();
+extern void _ZN6S929C34v160Ev();
+extern void _ZN6S929C34v161Ev();
+extern void _ZN6S929C34v162Ev();
+extern void _ZN6S929C34v163Ev();
+extern void _ZN6S929C34v164Ev();
+extern void _ZN6S929C34v165Ev();
+extern void _ZN6S929C34v166Ev();
+extern void _ZN6S929C34v167Ev();
+extern void _ZN6S929C34v168Ev();
+extern void _ZN6S929C34v169Ev();
+extern void _ZN6S929C34v170Ev();
+extern void _ZN6S929C34v171Ev();
+extern void _ZN6S929C34v172Ev();
+extern void _ZN6S929C34v173Ev();
+extern void _ZN6S929C34v174Ev();
+extern void _ZN6S929C34v175Ev();
+extern void _ZN6S929C34v176Ev();
+extern void _ZN6S929C34v177Ev();
+extern void _ZN6S929C34v178Ev();
+extern void _ZN6S929C34v179Ev();
+extern void _ZN6S929C34v180Ev();
+extern void _ZN6S929C34v181Ev();
+extern void _ZN6S929C34v182Ev();
+extern void _ZN6S929C34v183Ev();
+extern void _ZN6S929C34v184Ev();
+extern void _ZN6S929C34v185Ev();
+extern void _ZN6S929C34v186Ev();
+extern void _ZN6S929C34v187Ev();
+extern void _ZN6S929C34v188Ev();
+extern void _ZN6S929C34v189Ev();
+extern void _ZN6S929C34v190Ev();
+extern void _ZN6S929C34v191Ev();
+extern void _ZN6S929C34v192Ev();
+extern void _ZN6S929C34v193Ev();
+extern void _ZN6S929C34v194Ev();
+extern void _ZN6S929C34v195Ev();
+extern void _ZN6S929C34v196Ev();
+extern void _ZN6S929C34v197Ev();
+extern void _ZN6S929C34v198Ev();
+extern void _ZN6S929C34v199Ev();
+extern void _ZN6S929C34v200Ev();
+extern void _ZN6S929C34v201Ev();
+extern void _ZN6S929C34v202Ev();
+extern void _ZN6S929C34v203Ev();
+extern void _ZN6S929C34v204Ev();
+extern void _ZN6S929C34v205Ev();
+extern void _ZN6S929C34v206Ev();
+extern void _ZN6S929C34v207Ev();
+extern void _ZN6S929C34v208Ev();
+extern void _ZN6S929C34v209Ev();
+extern void _ZN6S929C34v210Ev();
+extern void _ZN6S929C34v211Ev();
+extern void _ZN6S929C34v212Ev();
+extern void _ZN6S929C34v213Ev();
+extern void _ZN6S929C34v214Ev();
+extern void _ZN6S929C34v215Ev();
+extern void _ZN6S929C34v216Ev();
+extern void _ZN6S929C34v217Ev();
+extern void _ZN6S929C34v218Ev();
+extern void _ZN6S929C34v219Ev();
+extern void _ZN6S929C34v220Ev();
+extern void _ZN6S929C34v221Ev();
+extern void _ZN6S929C34v222Ev();
+extern void _ZN6S929C34v223Ev();
+extern void _ZN6S929C34v224Ev();
+extern void _ZN6S929C34v225Ev();
+extern void _ZN6S929C34v226Ev();
+extern void _ZN6S929C34v227Ev();
+extern void _ZN6S929C34v228Ev();
+extern void _ZN6S929C34v229Ev();
+extern void _ZN6S929C34v230Ev();
+extern void _ZN6S929C34v231Ev();
+extern void _ZN6S929C34v232Ev();
+extern void _ZN6S929C34v233Ev();
+extern void _ZN6S929C34v234Ev();
+extern void _ZN6S929C34v235Ev();
+extern void _ZN6S929C34v236Ev();
+extern void _ZN6S929C34v237Ev();
+extern void _ZN6S929C34v238Ev();
+extern void _ZN6S929C34v239Ev();
+extern void _ZN6S929C34v240Ev();
+extern void _ZN6S929C34v241Ev();
+static  VTBL_ENTRY vtc_S929C3[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S929C3[0]),
+  (VTBL_ENTRY)&_ZN6S929C3D1Ev,
+  (VTBL_ENTRY)&_ZN6S929C3D0Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v55Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v56Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v57Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v58Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v59Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v60Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v61Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v62Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v63Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v64Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v65Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v77Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v78Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v79Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v80Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v81Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v82Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v83Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v84Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v85Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v86Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v87Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v88Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v89Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v90Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v91Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v92Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v93Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v94Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v95Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v96Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v97Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v98Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v99Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v100Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v101Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v102Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v103Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v104Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v105Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v106Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v107Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v108Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v109Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v110Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v111Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v112Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v113Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v114Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v115Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v116Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v117Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v118Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v119Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v120Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v121Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v122Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v123Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v124Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v125Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v126Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v127Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v128Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v129Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v130Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v131Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v132Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v133Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v134Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v135Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v136Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v137Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v138Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v139Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v140Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v141Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v142Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v143Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v144Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v145Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v146Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v147Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v148Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v149Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v150Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v151Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v152Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v153Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v154Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v155Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v156Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v157Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v158Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v159Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v160Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v161Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v162Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v163Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v164Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v165Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v166Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v167Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v168Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v169Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v170Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v171Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v172Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v173Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v174Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v175Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v176Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v177Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v178Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v179Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v180Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v181Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v182Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v183Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v184Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v185Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v186Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v187Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v188Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v189Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v190Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v191Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v192Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v193Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v194Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v195Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v196Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v197Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v198Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v199Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v200Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v201Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v202Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v203Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v204Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v205Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v206Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v207Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v208Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v209Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v210Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v211Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v212Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v213Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v214Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v215Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v216Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v217Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v218Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v219Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v220Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v221Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v222Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v223Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v224Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v225Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v226Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v227Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v228Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v229Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v230Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v231Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v232Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v233Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v234Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v235Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v236Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v237Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v238Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v239Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v240Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v241Ev,
+};
+extern VTBL_ENTRY _ZTI6S929C3[];
+extern  VTBL_ENTRY _ZTV6S929C3[];
+Class_Descriptor cd_S929C3 = {  "S929C3", // class name
+  bases_S929C3, 2,
+  &(vtc_S929C3[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(1264,1008), // object size
+  NSPAIRA(_ZTI6S929C3),ABISELECT(24,12), //typeinfo_var
+  NSPAIRA(_ZTV6S929C3),191, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  2, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S929C2  : S929C3 {
+  ::S927C32_nt s929c2f0;
+  ::S927C69 s929c2f1;
+  char s929c2f2[2];
+  ::S938C40_nt s929c2f3;
+  ::S938C40_nt s929c2f4;
+  ::S938C60 s929c2f5;
+  virtual  ~S929C2(); // _ZN6S929C2D1Ev
+  virtual void  v242(); // _ZN6S929C24v242Ev
+  virtual void  v243(); // _ZN6S929C24v243Ev
+  virtual void  v244(); // _ZN6S929C24v244Ev
+  virtual void  v245(); // _ZN6S929C24v245Ev
+  virtual void  v246(); // _ZN6S929C24v246Ev
+  virtual void  v247(); // _ZN6S929C24v247Ev
+  virtual void  v248(); // _ZN6S929C24v248Ev
+  virtual void  v249(); // _ZN6S929C24v249Ev
+  virtual void  v250(); // _ZN6S929C24v250Ev
+  S929C2(); // tgen
+};
+//SIG(-1 S929C2) C1{ BC2{ BC3{ BC4{ vd v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 Fp Fi FC5{ BC6{ m Fp Fi FC7{ m} FC8{ m}}} FC9{ BC10{ m Fp Fi FC11{ m} FC12{ m}}} Fi} vd v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53 v54 v55 v56 v57 v58 v59 v60 v61 v62 v63 v64 v65 v66 v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 v78 v79 v80 v81 v82 v83 v84 v85 v86 v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 v102 v103 v104 v105 v106 v107 v108 v109 v110 FC13{ m FC14{ m FL[2]}} FC13 FC13 FC15{ m FC14} FC16{ m FC15} FC17{ m FC15 FC15 FC15 FC15} FC17 FC13 FC13 FC13 Fp FC16 FC18{ m FC19{ m Fp Fi FC20{ m}} Fi} Fi Fp[7] FC21{ m Fp Fi FC8 FC22{ m}} Fi:8 Fi:8 Fi:16 Fi:16 Fi:8 Fi:8 Fi:8 Fi:32 Fi:8 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 F!
 c:1 Fc:1 
 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fi[7] Fp[6] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 FC23{ BC24{ m Fp Fi FC25{ m} FC25}} Fp[4] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:16 Fi:16 Fi:16 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:8 Fi:8 Fi:8 Fp[7] FC26{ m Fp Fi[2] FC7} Fp[2] Fi:16 Fi:16 FC27{ BC10} FC28{ m Fp Fi[2] FC12} FC29{ m Fp Fi[2] FC22} Fp[2] Fi:24 Fi:8 Fp} vd v111 v112 v113 v114 v115 v116 v117 v118 v119 v120 v121 v122 v123 v124 v125 v126 v127 v128 v129 v130 v131 v132 v133 v134 v135 v136 v137 v138 v139 v140 v141 v142 v143 v144 v145 v146 v147 v148 v149 v150 v151 v152 v153 v154 v155 v156 v157 v158 v159 v160 v161 v162 v163 v164 v165 v166 v167 v168 v169 v170 v171 v172 v173 v174 v175 v176 v177 v178 v179 v180 v181 v182 v183 v184 v185 v186 v187 v188 v189 v190 v191 v192 v193 v194 v195 v196 Fi[2] FC30{ m FC31{ m FL}} Fp[4] FC13 FC13 FC13 FC30 FC13 FC30 FC30 FC13 FC13 FC13 FC30 FC13 FC13 Fi[7] FC16 FC30 FC30 FC30 Fp Fi Fp[4] Fc[2] FC6 Fi[8] Fc[6] Fi Fc Fi[2] Fc[2]} vd v1 v2 v3!
  v4 v5 v6
  v7 v8 v9 FC32{ BC6} FC33{ m Fp Fi[2] FC7} Fc[2] FC30 FC30 FC13}
+
+
+ S929C2 ::~S929C2(){ note_dtor("S929C2", this);}
+void  S929C2 ::v242(){vfunc_called(this, "_ZN6S929C24v242Ev");}
+void  S929C2 ::v243(){vfunc_called(this, "_ZN6S929C24v243Ev");}
+void  S929C2 ::v244(){vfunc_called(this, "_ZN6S929C24v244Ev");}
+void  S929C2 ::v245(){vfunc_called(this, "_ZN6S929C24v245Ev");}
+void  S929C2 ::v246(){vfunc_called(this, "_ZN6S929C24v246Ev");}
+void  S929C2 ::v247(){vfunc_called(this, "_ZN6S929C24v247Ev");}
+void  S929C2 ::v248(){vfunc_called(this, "_ZN6S929C24v248Ev");}
+void  S929C2 ::v249(){vfunc_called(this, "_ZN6S929C24v249Ev");}
+void  S929C2 ::v250(){vfunc_called(this, "_ZN6S929C24v250Ev");}
+S929C2 ::S929C2(){ note_ctor("S929C2", this);} // tgen
+
+static void Test_S929C2()
+{
+  extern Class_Descriptor cd_S929C2;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(169,269)];
+    init_test(&cd_S929C2, buf);
+    S929C2 *dp, &lv = *(dp=new (buf) S929C2());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(1344,1072), "sizeof(S929C2)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S929C2)");
+    check_base_class_offset(lv, (S929C5*)(S929C4*)(S929C3*), 0, "S929C2");
+    check_base_class_offset(lv, (S929C4*)(S929C3*), 0, "S929C2");
+    check_base_class_offset(lv, (S929C3*), 0, "S929C2");
+    check_field_offset(lv, s929c2f0, ABISELECT(1264,1008), "S929C2.s929c2f0");
+    check_field_offset(lv, s929c2f1, ABISELECT(1280,1020), "S929C2.s929c2f1");
+    check_field_offset(lv, s929c2f2, ABISELECT(1304,1036), "S929C2.s929c2f2");
+    check_field_offset(lv, s929c2f3, ABISELECT(1312,1040), "S929C2.s929c2f3");
+    check_field_offset(lv, s929c2f4, ABISELECT(1320,1048), "S929C2.s929c2f4");
+    check_field_offset(lv, s929c2f5, ABISELECT(1328,1056), "S929C2.s929c2f5");
+    test_class_info(&lv, &cd_S929C2);
+    dp->~S929C2();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS929C2(Test_S929C2, "S929C2", ABISELECT(1344,1072));
+
+#else // __cplusplus
+
+extern void _ZN6S929C2C1Ev();
+extern void _ZN6S929C2D1Ev();
+Name_Map name_map_S929C2[] = {
+  NSPAIR(_ZN6S929C2C1Ev),
+  NSPAIR(_ZN6S929C2D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S929C5;
+extern VTBL_ENTRY _ZTI6S929C5[];
+extern  VTBL_ENTRY _ZTV6S929C5[];
+extern Class_Descriptor cd_S929C4;
+extern VTBL_ENTRY _ZTI6S929C4[];
+extern  VTBL_ENTRY _ZTV6S929C4[];
+extern Class_Descriptor cd_S929C3;
+extern VTBL_ENTRY _ZTI6S929C3[];
+extern  VTBL_ENTRY _ZTV6S929C3[];
+static Base_Class bases_S929C2[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S929C5,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    1, //immediately_derived
+  0, 0},
+  {&cd_S929C4,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    2, //init_seq
+    2, //immediately_derived
+  0, 0},
+  {&cd_S929C3,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    3, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI6S929C2[];
+extern void _ZN6S929C2D1Ev();
+extern void _ZN6S929C2D0Ev();
+extern void _ZN6S929C53v55Ev();
+extern void _ZN6S929C53v56Ev();
+extern void _ZN6S929C53v57Ev();
+extern void _ZN6S929C53v58Ev();
+extern void _ZN6S929C53v59Ev();
+extern void _ZN6S929C53v60Ev();
+extern void _ZN6S929C53v61Ev();
+extern void _ZN6S929C53v62Ev();
+extern void _ZN6S929C53v63Ev();
+extern void _ZN6S929C53v64Ev();
+extern void _ZN6S929C53v65Ev();
+extern void _ZN6S929C53v66Ev();
+extern void _ZN6S929C53v67Ev();
+extern void _ZN6S929C53v68Ev();
+extern void _ZN6S929C53v69Ev();
+extern void _ZN6S929C53v70Ev();
+extern void _ZN6S929C53v71Ev();
+extern void _ZN6S929C53v72Ev();
+extern void _ZN6S929C53v73Ev();
+extern void _ZN6S929C53v74Ev();
+extern void _ZN6S929C53v75Ev();
+extern void _ZN6S929C53v76Ev();
+extern void _ZN6S929C53v77Ev();
+extern void _ZN6S929C43v78Ev();
+extern void _ZN6S929C43v79Ev();
+extern void _ZN6S929C43v80Ev();
+extern void _ZN6S929C43v81Ev();
+extern void _ZN6S929C43v82Ev();
+extern void _ZN6S929C43v83Ev();
+extern void _ZN6S929C43v84Ev();
+extern void _ZN6S929C43v85Ev();
+extern void _ZN6S929C43v86Ev();
+extern void _ZN6S929C43v87Ev();
+extern void _ZN6S929C43v88Ev();
+extern void _ZN6S929C43v89Ev();
+extern void _ZN6S929C43v90Ev();
+extern void _ZN6S929C43v91Ev();
+extern void _ZN6S929C43v92Ev();
+extern void _ZN6S929C43v93Ev();
+extern void _ZN6S929C43v94Ev();
+extern void _ZN6S929C43v95Ev();
+extern void _ZN6S929C43v96Ev();
+extern void _ZN6S929C43v97Ev();
+extern void _ZN6S929C43v98Ev();
+extern void _ZN6S929C43v99Ev();
+extern void _ZN6S929C44v100Ev();
+extern void _ZN6S929C44v101Ev();
+extern void _ZN6S929C44v102Ev();
+extern void _ZN6S929C44v103Ev();
+extern void _ZN6S929C44v104Ev();
+extern void _ZN6S929C44v105Ev();
+extern void _ZN6S929C44v106Ev();
+extern void _ZN6S929C44v107Ev();
+extern void _ZN6S929C44v108Ev();
+extern void _ZN6S929C44v109Ev();
+extern void _ZN6S929C44v110Ev();
+extern void _ZN6S929C44v111Ev();
+extern void _ZN6S929C44v112Ev();
+extern void _ZN6S929C44v113Ev();
+extern void _ZN6S929C44v114Ev();
+extern void _ZN6S929C44v115Ev();
+extern void _ZN6S929C44v116Ev();
+extern void _ZN6S929C44v117Ev();
+extern void _ZN6S929C44v118Ev();
+extern void _ZN6S929C44v119Ev();
+extern void _ZN6S929C44v120Ev();
+extern void _ZN6S929C44v121Ev();
+extern void _ZN6S929C44v122Ev();
+extern void _ZN6S929C44v123Ev();
+extern void _ZN6S929C44v124Ev();
+extern void _ZN6S929C44v125Ev();
+extern void _ZN6S929C44v126Ev();
+extern void _ZN6S929C44v127Ev();
+extern void _ZN6S929C44v128Ev();
+extern void _ZN6S929C44v129Ev();
+extern void _ZN6S929C44v130Ev();
+extern void _ZN6S929C44v131Ev();
+extern void _ZN6S929C44v132Ev();
+extern void _ZN6S929C44v133Ev();
+extern void _ZN6S929C44v134Ev();
+extern void _ZN6S929C44v135Ev();
+extern void _ZN6S929C44v136Ev();
+extern void _ZN6S929C44v137Ev();
+extern void _ZN6S929C44v138Ev();
+extern void _ZN6S929C44v139Ev();
+extern void _ZN6S929C44v140Ev();
+extern void _ZN6S929C44v141Ev();
+extern void _ZN6S929C44v142Ev();
+extern void _ZN6S929C44v143Ev();
+extern void _ZN6S929C44v144Ev();
+extern void _ZN6S929C44v145Ev();
+extern void _ZN6S929C44v146Ev();
+extern void _ZN6S929C44v147Ev();
+extern void _ZN6S929C44v148Ev();
+extern void _ZN6S929C44v149Ev();
+extern void _ZN6S929C44v150Ev();
+extern void _ZN6S929C44v151Ev();
+extern void _ZN6S929C44v152Ev();
+extern void _ZN6S929C44v153Ev();
+extern void _ZN6S929C44v154Ev();
+extern void _ZN6S929C44v155Ev();
+extern void _ZN6S929C34v156Ev();
+extern void _ZN6S929C34v157Ev();
+extern void _ZN6S929C34v158Ev();
+extern void _ZN6S929C34v159Ev();
+extern void _ZN6S929C34v160Ev();
+extern void _ZN6S929C34v161Ev();
+extern void _ZN6S929C34v162Ev();
+extern void _ZN6S929C34v163Ev();
+extern void _ZN6S929C34v164Ev();
+extern void _ZN6S929C34v165Ev();
+extern void _ZN6S929C34v166Ev();
+extern void _ZN6S929C34v167Ev();
+extern void _ZN6S929C34v168Ev();
+extern void _ZN6S929C34v169Ev();
+extern void _ZN6S929C34v170Ev();
+extern void _ZN6S929C34v171Ev();
+extern void _ZN6S929C34v172Ev();
+extern void _ZN6S929C34v173Ev();
+extern void _ZN6S929C34v174Ev();
+extern void _ZN6S929C34v175Ev();
+extern void _ZN6S929C34v176Ev();
+extern void _ZN6S929C34v177Ev();
+extern void _ZN6S929C34v178Ev();
+extern void _ZN6S929C34v179Ev();
+extern void _ZN6S929C34v180Ev();
+extern void _ZN6S929C34v181Ev();
+extern void _ZN6S929C34v182Ev();
+extern void _ZN6S929C34v183Ev();
+extern void _ZN6S929C34v184Ev();
+extern void _ZN6S929C34v185Ev();
+extern void _ZN6S929C34v186Ev();
+extern void _ZN6S929C34v187Ev();
+extern void _ZN6S929C34v188Ev();
+extern void _ZN6S929C34v189Ev();
+extern void _ZN6S929C34v190Ev();
+extern void _ZN6S929C34v191Ev();
+extern void _ZN6S929C34v192Ev();
+extern void _ZN6S929C34v193Ev();
+extern void _ZN6S929C34v194Ev();
+extern void _ZN6S929C34v195Ev();
+extern void _ZN6S929C34v196Ev();
+extern void _ZN6S929C34v197Ev();
+extern void _ZN6S929C34v198Ev();
+extern void _ZN6S929C34v199Ev();
+extern void _ZN6S929C34v200Ev();
+extern void _ZN6S929C34v201Ev();
+extern void _ZN6S929C34v202Ev();
+extern void _ZN6S929C34v203Ev();
+extern void _ZN6S929C34v204Ev();
+extern void _ZN6S929C34v205Ev();
+extern void _ZN6S929C34v206Ev();
+extern void _ZN6S929C34v207Ev();
+extern void _ZN6S929C34v208Ev();
+extern void _ZN6S929C34v209Ev();
+extern void _ZN6S929C34v210Ev();
+extern void _ZN6S929C34v211Ev();
+extern void _ZN6S929C34v212Ev();
+extern void _ZN6S929C34v213Ev();
+extern void _ZN6S929C34v214Ev();
+extern void _ZN6S929C34v215Ev();
+extern void _ZN6S929C34v216Ev();
+extern void _ZN6S929C34v217Ev();
+extern void _ZN6S929C34v218Ev();
+extern void _ZN6S929C34v219Ev();
+extern void _ZN6S929C34v220Ev();
+extern void _ZN6S929C34v221Ev();
+extern void _ZN6S929C34v222Ev();
+extern void _ZN6S929C34v223Ev();
+extern void _ZN6S929C34v224Ev();
+extern void _ZN6S929C34v225Ev();
+extern void _ZN6S929C34v226Ev();
+extern void _ZN6S929C34v227Ev();
+extern void _ZN6S929C34v228Ev();
+extern void _ZN6S929C34v229Ev();
+extern void _ZN6S929C34v230Ev();
+extern void _ZN6S929C34v231Ev();
+extern void _ZN6S929C34v232Ev();
+extern void _ZN6S929C34v233Ev();
+extern void _ZN6S929C34v234Ev();
+extern void _ZN6S929C34v235Ev();
+extern void _ZN6S929C34v236Ev();
+extern void _ZN6S929C34v237Ev();
+extern void _ZN6S929C34v238Ev();
+extern void _ZN6S929C34v239Ev();
+extern void _ZN6S929C34v240Ev();
+extern void _ZN6S929C34v241Ev();
+extern void _ZN6S929C24v242Ev();
+extern void _ZN6S929C24v243Ev();
+extern void _ZN6S929C24v244Ev();
+extern void _ZN6S929C24v245Ev();
+extern void _ZN6S929C24v246Ev();
+extern void _ZN6S929C24v247Ev();
+extern void _ZN6S929C24v248Ev();
+extern void _ZN6S929C24v249Ev();
+extern void _ZN6S929C24v250Ev();
+static  VTBL_ENTRY vtc_S929C2[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S929C2[0]),
+  (VTBL_ENTRY)&_ZN6S929C2D1Ev,
+  (VTBL_ENTRY)&_ZN6S929C2D0Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v55Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v56Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v57Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v58Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v59Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v60Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v61Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v62Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v63Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v64Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v65Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v77Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v78Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v79Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v80Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v81Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v82Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v83Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v84Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v85Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v86Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v87Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v88Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v89Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v90Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v91Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v92Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v93Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v94Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v95Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v96Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v97Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v98Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v99Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v100Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v101Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v102Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v103Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v104Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v105Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v106Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v107Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v108Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v109Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v110Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v111Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v112Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v113Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v114Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v115Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v116Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v117Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v118Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v119Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v120Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v121Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v122Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v123Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v124Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v125Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v126Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v127Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v128Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v129Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v130Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v131Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v132Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v133Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v134Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v135Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v136Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v137Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v138Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v139Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v140Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v141Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v142Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v143Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v144Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v145Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v146Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v147Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v148Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v149Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v150Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v151Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v152Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v153Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v154Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v155Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v156Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v157Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v158Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v159Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v160Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v161Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v162Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v163Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v164Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v165Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v166Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v167Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v168Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v169Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v170Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v171Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v172Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v173Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v174Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v175Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v176Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v177Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v178Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v179Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v180Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v181Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v182Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v183Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v184Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v185Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v186Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v187Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v188Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v189Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v190Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v191Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v192Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v193Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v194Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v195Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v196Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v197Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v198Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v199Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v200Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v201Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v202Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v203Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v204Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v205Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v206Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v207Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v208Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v209Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v210Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v211Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v212Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v213Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v214Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v215Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v216Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v217Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v218Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v219Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v220Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v221Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v222Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v223Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v224Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v225Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v226Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v227Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v228Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v229Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v230Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v231Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v232Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v233Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v234Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v235Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v236Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v237Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v238Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v239Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v240Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v241Ev,
+  (VTBL_ENTRY)&_ZN6S929C24v242Ev,
+  (VTBL_ENTRY)&_ZN6S929C24v243Ev,
+  (VTBL_ENTRY)&_ZN6S929C24v244Ev,
+  (VTBL_ENTRY)&_ZN6S929C24v245Ev,
+  (VTBL_ENTRY)&_ZN6S929C24v246Ev,
+  (VTBL_ENTRY)&_ZN6S929C24v247Ev,
+  (VTBL_ENTRY)&_ZN6S929C24v248Ev,
+  (VTBL_ENTRY)&_ZN6S929C24v249Ev,
+  (VTBL_ENTRY)&_ZN6S929C24v250Ev,
+};
+extern VTBL_ENTRY _ZTI6S929C2[];
+extern  VTBL_ENTRY _ZTV6S929C2[];
+Class_Descriptor cd_S929C2 = {  "S929C2", // class name
+  bases_S929C2, 3,
+  &(vtc_S929C2[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(1344,1072), // object size
+  NSPAIRA(_ZTI6S929C2),ABISELECT(24,12), //typeinfo_var
+  NSPAIRA(_ZTV6S929C2),200, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  3, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S929C50  {
+  virtual  ~S929C50(); // _ZN7S929C50D1Ev
+  virtual void  v251(); // _ZN7S929C504v251Ev
+  virtual void  v252(); // _ZN7S929C504v252Ev
+  virtual void  v253(); // _ZN7S929C504v253Ev
+  virtual void  v254(); // _ZN7S929C504v254Ev
+  S929C50(); // tgen
+};
+//SIG(-1 S929C50) C1{ vd v1 v2 v3 v4}
+
+
+ S929C50 ::~S929C50(){ note_dtor("S929C50", this);}
+void  S929C50 ::v251(){vfunc_called(this, "_ZN7S929C504v251Ev");}
+void  S929C50 ::v252(){vfunc_called(this, "_ZN7S929C504v252Ev");}
+void  S929C50 ::v253(){vfunc_called(this, "_ZN7S929C504v253Ev");}
+void  S929C50 ::v254(){vfunc_called(this, "_ZN7S929C504v254Ev");}
+S929C50 ::S929C50(){ note_ctor("S929C50", this);} // tgen
+
+static void Test_S929C50()
+{
+  extern Class_Descriptor cd_S929C50;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[2];
+    init_test(&cd_S929C50, buf);
+    S929C50 *dp, &lv = *(dp=new (buf) S929C50());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(8,4), "sizeof(S929C50)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S929C50)");
+    test_class_info(&lv, &cd_S929C50);
+    dp->~S929C50();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS929C50(Test_S929C50, "S929C50", ABISELECT(8,4));
+
+#else // __cplusplus
+
+extern void _ZN7S929C50C1Ev();
+extern void _ZN7S929C50D1Ev();
+Name_Map name_map_S929C50[] = {
+  NSPAIR(_ZN7S929C50C1Ev),
+  NSPAIR(_ZN7S929C50D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI7S929C50[];
+extern void _ZN7S929C50D1Ev();
+extern void _ZN7S929C50D0Ev();
+extern void _ZN7S929C504v251Ev();
+extern void _ZN7S929C504v252Ev();
+extern void _ZN7S929C504v253Ev();
+extern void _ZN7S929C504v254Ev();
+static  VTBL_ENTRY vtc_S929C50[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI7S929C50[0]),
+  (VTBL_ENTRY)&_ZN7S929C50D1Ev,
+  (VTBL_ENTRY)&_ZN7S929C50D0Ev,
+  (VTBL_ENTRY)&_ZN7S929C504v251Ev,
+  (VTBL_ENTRY)&_ZN7S929C504v252Ev,
+  (VTBL_ENTRY)&_ZN7S929C504v253Ev,
+  (VTBL_ENTRY)&_ZN7S929C504v254Ev,
+};
+extern VTBL_ENTRY _ZTI7S929C50[];
+extern  VTBL_ENTRY _ZTV7S929C50[];
+Class_Descriptor cd_S929C50 = {  "S929C50", // class name
+  0,0,//no base classes
+  &(vtc_S929C50[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(8,4), // object size
+  NSPAIRA(_ZTI7S929C50),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV7S929C50),8, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  0, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S929C51  {
+  virtual  ~S929C51(); // _ZN7S929C51D1Ev
+  virtual void  v255(); // _ZN7S929C514v255Ev
+  virtual void  v256(); // _ZN7S929C514v256Ev
+  virtual void  v257(); // _ZN7S929C514v257Ev
+  virtual void  v258(); // _ZN7S929C514v258Ev
+  virtual void  v259(); // _ZN7S929C514v259Ev
+  virtual void  v260(); // _ZN7S929C514v260Ev
+  S929C51(); // tgen
+};
+//SIG(-1 S929C51) C1{ vd v1 v2 v3 v4 v5 v6}
+
+
+ S929C51 ::~S929C51(){ note_dtor("S929C51", this);}
+void  S929C51 ::v255(){vfunc_called(this, "_ZN7S929C514v255Ev");}
+void  S929C51 ::v256(){vfunc_called(this, "_ZN7S929C514v256Ev");}
+void  S929C51 ::v257(){vfunc_called(this, "_ZN7S929C514v257Ev");}
+void  S929C51 ::v258(){vfunc_called(this, "_ZN7S929C514v258Ev");}
+void  S929C51 ::v259(){vfunc_called(this, "_ZN7S929C514v259Ev");}
+void  S929C51 ::v260(){vfunc_called(this, "_ZN7S929C514v260Ev");}
+S929C51 ::S929C51(){ note_ctor("S929C51", this);} // tgen
+
+static void Test_S929C51()
+{
+  extern Class_Descriptor cd_S929C51;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[2];
+    init_test(&cd_S929C51, buf);
+    S929C51 *dp, &lv = *(dp=new (buf) S929C51());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(8,4), "sizeof(S929C51)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S929C51)");
+    test_class_info(&lv, &cd_S929C51);
+    dp->~S929C51();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS929C51(Test_S929C51, "S929C51", ABISELECT(8,4));
+
+#else // __cplusplus
+
+extern void _ZN7S929C51C1Ev();
+extern void _ZN7S929C51D1Ev();
+Name_Map name_map_S929C51[] = {
+  NSPAIR(_ZN7S929C51C1Ev),
+  NSPAIR(_ZN7S929C51D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI7S929C51[];
+extern void _ZN7S929C51D1Ev();
+extern void _ZN7S929C51D0Ev();
+extern void _ZN7S929C514v255Ev();
+extern void _ZN7S929C514v256Ev();
+extern void _ZN7S929C514v257Ev();
+extern void _ZN7S929C514v258Ev();
+extern void _ZN7S929C514v259Ev();
+extern void _ZN7S929C514v260Ev();
+static  VTBL_ENTRY vtc_S929C51[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI7S929C51[0]),
+  (VTBL_ENTRY)&_ZN7S929C51D1Ev,
+  (VTBL_ENTRY)&_ZN7S929C51D0Ev,
+  (VTBL_ENTRY)&_ZN7S929C514v255Ev,
+  (VTBL_ENTRY)&_ZN7S929C514v256Ev,
+  (VTBL_ENTRY)&_ZN7S929C514v257Ev,
+  (VTBL_ENTRY)&_ZN7S929C514v258Ev,
+  (VTBL_ENTRY)&_ZN7S929C514v259Ev,
+  (VTBL_ENTRY)&_ZN7S929C514v260Ev,
+};
+extern VTBL_ENTRY _ZTI7S929C51[];
+extern  VTBL_ENTRY _ZTV7S929C51[];
+Class_Descriptor cd_S929C51 = {  "S929C51", // class name
+  0,0,//no base classes
+  &(vtc_S929C51[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(8,4), // object size
+  NSPAIRA(_ZTI7S929C51),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV7S929C51),10, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  0, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S929C52  {
+  virtual  ~S929C52(); // _ZN7S929C52D1Ev
+  virtual void  v261(); // _ZN7S929C524v261Ev
+  virtual void  v262(); // _ZN7S929C524v262Ev
+  virtual void  v263(); // _ZN7S929C524v263Ev
+  virtual void  v264(); // _ZN7S929C524v264Ev
+  virtual void  v265(); // _ZN7S929C524v265Ev
+  virtual void  v266(); // _ZN7S929C524v266Ev
+  virtual void  v267(); // _ZN7S929C524v267Ev
+  virtual void  v268(); // _ZN7S929C524v268Ev
+  S929C52(); // tgen
+};
+//SIG(-1 S929C52) C1{ vd v1 v2 v3 v4 v5 v6 v7 v8}
+
+
+ S929C52 ::~S929C52(){ note_dtor("S929C52", this);}
+void  S929C52 ::v261(){vfunc_called(this, "_ZN7S929C524v261Ev");}
+void  S929C52 ::v262(){vfunc_called(this, "_ZN7S929C524v262Ev");}
+void  S929C52 ::v263(){vfunc_called(this, "_ZN7S929C524v263Ev");}
+void  S929C52 ::v264(){vfunc_called(this, "_ZN7S929C524v264Ev");}
+void  S929C52 ::v265(){vfunc_called(this, "_ZN7S929C524v265Ev");}
+void  S929C52 ::v266(){vfunc_called(this, "_ZN7S929C524v266Ev");}
+void  S929C52 ::v267(){vfunc_called(this, "_ZN7S929C524v267Ev");}
+void  S929C52 ::v268(){vfunc_called(this, "_ZN7S929C524v268Ev");}
+S929C52 ::S929C52(){ note_ctor("S929C52", this);} // tgen
+
+static void Test_S929C52()
+{
+  extern Class_Descriptor cd_S929C52;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[2];
+    init_test(&cd_S929C52, buf);
+    S929C52 *dp, &lv = *(dp=new (buf) S929C52());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(8,4), "sizeof(S929C52)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S929C52)");
+    test_class_info(&lv, &cd_S929C52);
+    dp->~S929C52();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS929C52(Test_S929C52, "S929C52", ABISELECT(8,4));
+
+#else // __cplusplus
+
+extern void _ZN7S929C52C1Ev();
+extern void _ZN7S929C52D1Ev();
+Name_Map name_map_S929C52[] = {
+  NSPAIR(_ZN7S929C52C1Ev),
+  NSPAIR(_ZN7S929C52D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI7S929C52[];
+extern void _ZN7S929C52D1Ev();
+extern void _ZN7S929C52D0Ev();
+extern void _ZN7S929C524v261Ev();
+extern void _ZN7S929C524v262Ev();
+extern void _ZN7S929C524v263Ev();
+extern void _ZN7S929C524v264Ev();
+extern void _ZN7S929C524v265Ev();
+extern void _ZN7S929C524v266Ev();
+extern void _ZN7S929C524v267Ev();
+extern void _ZN7S929C524v268Ev();
+static  VTBL_ENTRY vtc_S929C52[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI7S929C52[0]),
+  (VTBL_ENTRY)&_ZN7S929C52D1Ev,
+  (VTBL_ENTRY)&_ZN7S929C52D0Ev,
+  (VTBL_ENTRY)&_ZN7S929C524v261Ev,
+  (VTBL_ENTRY)&_ZN7S929C524v262Ev,
+  (VTBL_ENTRY)&_ZN7S929C524v263Ev,
+  (VTBL_ENTRY)&_ZN7S929C524v264Ev,
+  (VTBL_ENTRY)&_ZN7S929C524v265Ev,
+  (VTBL_ENTRY)&_ZN7S929C524v266Ev,
+  (VTBL_ENTRY)&_ZN7S929C524v267Ev,
+  (VTBL_ENTRY)&_ZN7S929C524v268Ev,
+};
+extern VTBL_ENTRY _ZTI7S929C52[];
+extern  VTBL_ENTRY _ZTV7S929C52[];
+Class_Descriptor cd_S929C52 = {  "S929C52", // class name
+  0,0,//no base classes
+  &(vtc_S929C52[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(8,4), // object size
+  NSPAIRA(_ZTI7S929C52),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV7S929C52),12, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  0, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S929C55_nt  {
+  __tsi64 s929c55f0[4];
+  void  mf929c55(); // _ZN10S929C55_nt8mf929c55Ev
+};
+//SIG(-1 S929C55_nt) C1{ m FL[4]}
+
+
+void  S929C55_nt ::mf929c55(){}
+
+//skip512 S929C55_nt
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S929C68  {
+  void *s929c68f0;
+  int s929c68f1[2];
+  ::S938C68 s929c68f2;
+  void  mf929c68(); // _ZN7S929C688mf929c68Ev
+};
+//SIG(-1 S929C68) C1{ m Fp Fi[2] FC2{ m}}
+
+
+void  S929C68 ::mf929c68(){}
+
+static void Test_S929C68()
+{
+  {
+    init_simple_test("S929C68");
+    S929C68 lv;
+    check2(sizeof(lv), ABISELECT(24,16), "sizeof(S929C68)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S929C68)");
+    check_field_offset(lv, s929c68f0, 0, "S929C68.s929c68f0");
+    check_field_offset(lv, s929c68f1, ABISELECT(8,4), "S929C68.s929c68f1");
+    check_field_offset(lv, s929c68f2, ABISELECT(16,12), "S929C68.s929c68f2");
+  }
+}
+static Arrange_To_Call_Me vS929C68(Test_S929C68, "S929C68", ABISELECT(24,16));
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S929C1  : S929C2 , S929C50 , S929C51 , S929C52 {
+  void *s929c1f0[7];
+  ::S927C53 s929c1f1;
+  ::S929C55_nt s929c1f2;
+  ::S927C57_nt s929c1f3;
+  void *s929c1f4;
+  int s929c1f5[2];
+  char s929c1f6[3];
+  ::S938C54 s929c1f7;
+  ::S938C56 s929c1f8;
+  char s929c1f9;
+  ::S938C40_nt s929c1f10;
+  int s929c1f11[3];
+  char s929c1f12;
+  int s929c1f13[3];
+  ::S938C60 s929c1f14;
+  char s929c1f15;
+  int s929c1f16[2];
+  ::S938C60 s929c1f17;
+  ::S938C64 s929c1f18;
+  ::S938C63 s929c1f19;
+  ::S927C53 s929c1f20;
+  char s929c1f21;
+  ::S938C40_nt s929c1f22;
+  ::S938C40_nt s929c1f23[8];
+  ::S927C66 s929c1f24;
+  char s929c1f25[2];
+  int s929c1f26;
+  void *s929c1f27;
+  ::S938C60 s929c1f28;
+  int s929c1f29;
+  char s929c1f30[2];
+  int s929c1f31[3];
+  ::S927C38_nt s929c1f32;
+  int s929c1f33;
+  ::S929C68 s929c1f34;
+  ::S929C68 s929c1f35;
+  char s929c1f36[2];
+  void *s929c1f37[2];
+  char s929c1f38;
+  ::S938C40_nt s929c1f39;
+  char s929c1f40;
+  ::S938C62_nt s929c1f41;
+  ::S938C62_nt s929c1f42;
+  __tsi64 s929c1f43;
+  void *s929c1f44[2];
+  ::S927C57_nt s929c1f45;
+  ::S927C57_nt s929c1f46;
+  ::S927C57_nt s929c1f47;
+  ::S927C57_nt s929c1f48;
+  void *s929c1f49;
+  int s929c1f50;
+  void *s929c1f51[2];
+  ::S938C27 s929c1f52;
+  ::S938C23 s929c1f53;
+  ::S938C23 s929c1f54;
+  ::S938C23 s929c1f55;
+  ::S938C40_nt s929c1f56;
+  ::S927C78 s929c1f57;
+  ::S938C7_nt s929c1f58;
+  ::S927C82_nt s929c1f59;
+  int s929c1f60;
+  virtual  ~S929C1(); // _ZN6S929C1D1Ev
+  virtual void  v1(); // _ZN6S929C12v1Ev
+  virtual void  v2(); // _ZN6S929C12v2Ev
+  virtual void  v3(); // _ZN6S929C12v3Ev
+  virtual void  v4(); // _ZN6S929C12v4Ev
+  virtual void  v5(); // _ZN6S929C12v5Ev
+  virtual void  v6(); // _ZN6S929C12v6Ev
+  virtual void  v7(); // _ZN6S929C12v7Ev
+  virtual void  v8(); // _ZN6S929C12v8Ev
+  virtual void  v9(); // _ZN6S929C12v9Ev
+  virtual void  v10(); // _ZN6S929C13v10Ev
+  virtual void  v11(); // _ZN6S929C13v11Ev
+  virtual void  v12(); // _ZN6S929C13v12Ev
+  virtual void  v13(); // _ZN6S929C13v13Ev
+  virtual void  v14(); // _ZN6S929C13v14Ev
+  virtual void  v15(); // _ZN6S929C13v15Ev
+  virtual void  v16(); // _ZN6S929C13v16Ev
+  virtual void  v17(); // _ZN6S929C13v17Ev
+  virtual void  v18(); // _ZN6S929C13v18Ev
+  virtual void  v19(); // _ZN6S929C13v19Ev
+  virtual void  v20(); // _ZN6S929C13v20Ev
+  virtual void  v21(); // _ZN6S929C13v21Ev
+  virtual void  v22(); // _ZN6S929C13v22Ev
+  virtual void  v23(); // _ZN6S929C13v23Ev
+  virtual void  v24(); // _ZN6S929C13v24Ev
+  virtual void  v25(); // _ZN6S929C13v25Ev
+  virtual void  v26(); // _ZN6S929C13v26Ev
+  virtual void  v27(); // _ZN6S929C13v27Ev
+  virtual void  v28(); // _ZN6S929C13v28Ev
+  virtual void  v29(); // _ZN6S929C13v29Ev
+  virtual void  v30(); // _ZN6S929C13v30Ev
+  virtual void  v31(); // _ZN6S929C13v31Ev
+  virtual void  v32(); // _ZN6S929C13v32Ev
+  virtual void  v33(); // _ZN6S929C13v33Ev
+  virtual void  v34(); // _ZN6S929C13v34Ev
+  virtual void  v35(); // _ZN6S929C13v35Ev
+  virtual void  v36(); // _ZN6S929C13v36Ev
+  virtual void  v37(); // _ZN6S929C13v37Ev
+  virtual void  v38(); // _ZN6S929C13v38Ev
+  virtual void  v39(); // _ZN6S929C13v39Ev
+  virtual void  v40(); // _ZN6S929C13v40Ev
+  virtual void  v41(); // _ZN6S929C13v41Ev
+  virtual void  v42(); // _ZN6S929C13v42Ev
+  virtual void  v43(); // _ZN6S929C13v43Ev
+  virtual void  v44(); // _ZN6S929C13v44Ev
+  virtual void  v45(); // _ZN6S929C13v45Ev
+  virtual void  v46(); // _ZN6S929C13v46Ev
+  virtual void  v47(); // _ZN6S929C13v47Ev
+  virtual void  v48(); // _ZN6S929C13v48Ev
+  virtual void  v49(); // _ZN6S929C13v49Ev
+  virtual void  v50(); // _ZN6S929C13v50Ev
+  virtual void  v51(); // _ZN6S929C13v51Ev
+  virtual void  v52(); // _ZN6S929C13v52Ev
+  virtual void  v53(); // _ZN6S929C13v53Ev
+  virtual void  v54(); // _ZN6S929C13v54Ev
+  S929C1(); // tgen
+};
+//SIG(1 S929C1) C1{ BC2{ BC3{ BC4{ BC5{ vd v55 v56 v57 v58 v59 v60 v61 v62 v63 v64 v65 v66 v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 Fp Fi FC6{ BC7{ m Fp Fi FC8{ m} FC9{ m}}} FC10{ BC11{ m Fp Fi FC12{ m} FC13{ m}}} Fi} vd v78 v79 v80 v81 v82 v83 v84 v85 v86 v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 v102 v103 v104 v105 v106 v107 v108 v109 v110 v111 v112 v113 v114 v115 v116 v117 v118 v119 v120 v121 v122 v123 v124 v125 v126 v127 v128 v129 v130 v131 v132 v133 v134 v135 v136 v137 v138 v139 v140 v141 v142 v143 v144 v145 v146 v147 v148 v149 v150 v151 v152 v153 v154 v155 FC14{ m FC15{ m FL[2]}} FC14 FC14 FC16{ m FC15} FC17{ m FC16} FC18{ m FC16 FC16 FC16 FC16} FC18 FC14 FC14 FC14 Fp FC17 FC19{ m FC20{ m Fp Fi FC21{ m}} Fi} Fi Fp[7] FC22{ m Fp Fi FC9 FC23{ m}} Fi:8 Fi:8 Fi:16 Fi:16 Fi:8 Fi:8 Fi:8 Fi:32 Fi:8 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 F!
 c:1 Fc:1 
 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fi[7] Fp[6] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 FC24{ BC25{ m Fp Fi FC26{ m} FC26}} Fp[4] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:16 Fi:16 Fi:16 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:8 Fi:8 Fi:8 Fp[7] FC27{ m Fp Fi[2] FC8} Fp[2] Fi:16 Fi:16 FC28{ BC11} FC29{ m Fp Fi[2] FC13} FC30{ m Fp Fi[2] FC23} Fp[2] Fi:24 Fi:8 Fp} vd v156 v157 v158 v159 v160 v161 v162 v163 v164 v165 v166 v167 v168 v169 v170 v171 v172 v173 v174 v175 v176 v177 v178 v179 v180 v181 v182 v183 v184 v185 v186 v187 v188 v189 v190 v191 v192 v193 v194 v195 v196 v197 v198 v199 v200 v201 v202 v203 v204 v205 v206 v207 v208 v209 v210 v211 v212 v213 v214 v215 v216 v217 v218 v219 v220 v221 v222 v223 v224 v225 v226 v227 v228 v229 v230 v231 v232 v233 v234 v235 v236 v237 v238 v239 v240 v241 Fi[2] FC31{ m FC32{ m FL}} Fp[4] FC14 FC14 FC14 FC31 FC14 FC31 FC31 FC14 FC14 FC14 FC31 FC14 FC14 Fi[7] FC17 FC31 FC31 FC31 Fp Fi Fp[4] Fc!
 [2] FC7 F
 i[8] Fc[6] Fi Fc Fi[2] Fc[2]} vd v242 v243 v244 v245 v246 v247 v248 v249 v250 FC33{ BC7} FC34{ m Fp Fi[2] FC8} Fc[2] FC31 FC31 FC14} BC35{ vd v251 v252 v253 v254} BC36{ vd v255 v256 v257 v258 v259 v260} BC37{ vd v261 v262 v263 v264 v265 v266 v267 v268} vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53 v54 Fp[7] FC38{ m Fp Fi[2] FC9} FC39{ m FL[4]} FC40{ m Fp} Fp Fi[2] Fc[3] FC41{ vd m FC42{ Fi Fp[7] Fs Fc[10]} Fp Fc[2] FC43{ m FC20 FC20}} FC43 Fc FC31 Fi[3] Fc Fi[3] FC14 Fc Fi[2] FC14 FC44{ FC43 FC43 FC45{ m Fs[6] Fi[2] Fc Fs} FC45 FC43} FC46{ m Fp Fi[5] FC43 FC43 FC43 Fp} FC38 Fc FC31 FC31[8] FC47{ m FC43 Fc} Fc[2] Fi Fp FC14 Fi Fc[2] Fi[3] FC48{ m Fp Fi[2] FC23} Fi FC49{ m Fp Fi[2] FC23} FC49 Fc[2] Fp[2] Fc FC31 Fc FC50{ m Fp[2]} FC50 FL Fp[2] FC40 FC40 FC40 FC40 Fp Fi Fp[2] FC11 FC51{ m Fp Fi FC21 FC12} FC51 FC51 FC31!
  FC52{ vd
  m FC20 Fi Fc FC20} FC25 FC53{ m Fc[2]} Fi}
+
+
+ S929C1 ::~S929C1(){ note_dtor("S929C1", this);}
+void  S929C1 ::v1(){vfunc_called(this, "_ZN6S929C12v1Ev");}
+void  S929C1 ::v2(){vfunc_called(this, "_ZN6S929C12v2Ev");}
+void  S929C1 ::v3(){vfunc_called(this, "_ZN6S929C12v3Ev");}
+void  S929C1 ::v4(){vfunc_called(this, "_ZN6S929C12v4Ev");}
+void  S929C1 ::v5(){vfunc_called(this, "_ZN6S929C12v5Ev");}
+void  S929C1 ::v6(){vfunc_called(this, "_ZN6S929C12v6Ev");}
+void  S929C1 ::v7(){vfunc_called(this, "_ZN6S929C12v7Ev");}
+void  S929C1 ::v8(){vfunc_called(this, "_ZN6S929C12v8Ev");}
+void  S929C1 ::v9(){vfunc_called(this, "_ZN6S929C12v9Ev");}
+void  S929C1 ::v10(){vfunc_called(this, "_ZN6S929C13v10Ev");}
+void  S929C1 ::v11(){vfunc_called(this, "_ZN6S929C13v11Ev");}
+void  S929C1 ::v12(){vfunc_called(this, "_ZN6S929C13v12Ev");}
+void  S929C1 ::v13(){vfunc_called(this, "_ZN6S929C13v13Ev");}
+void  S929C1 ::v14(){vfunc_called(this, "_ZN6S929C13v14Ev");}
+void  S929C1 ::v15(){vfunc_called(this, "_ZN6S929C13v15Ev");}
+void  S929C1 ::v16(){vfunc_called(this, "_ZN6S929C13v16Ev");}
+void  S929C1 ::v17(){vfunc_called(this, "_ZN6S929C13v17Ev");}
+void  S929C1 ::v18(){vfunc_called(this, "_ZN6S929C13v18Ev");}
+void  S929C1 ::v19(){vfunc_called(this, "_ZN6S929C13v19Ev");}
+void  S929C1 ::v20(){vfunc_called(this, "_ZN6S929C13v20Ev");}
+void  S929C1 ::v21(){vfunc_called(this, "_ZN6S929C13v21Ev");}
+void  S929C1 ::v22(){vfunc_called(this, "_ZN6S929C13v22Ev");}
+void  S929C1 ::v23(){vfunc_called(this, "_ZN6S929C13v23Ev");}
+void  S929C1 ::v24(){vfunc_called(this, "_ZN6S929C13v24Ev");}
+void  S929C1 ::v25(){vfunc_called(this, "_ZN6S929C13v25Ev");}
+void  S929C1 ::v26(){vfunc_called(this, "_ZN6S929C13v26Ev");}
+void  S929C1 ::v27(){vfunc_called(this, "_ZN6S929C13v27Ev");}
+void  S929C1 ::v28(){vfunc_called(this, "_ZN6S929C13v28Ev");}
+void  S929C1 ::v29(){vfunc_called(this, "_ZN6S929C13v29Ev");}
+void  S929C1 ::v30(){vfunc_called(this, "_ZN6S929C13v30Ev");}
+void  S929C1 ::v31(){vfunc_called(this, "_ZN6S929C13v31Ev");}
+void  S929C1 ::v32(){vfunc_called(this, "_ZN6S929C13v32Ev");}
+void  S929C1 ::v33(){vfunc_called(this, "_ZN6S929C13v33Ev");}
+void  S929C1 ::v34(){vfunc_called(this, "_ZN6S929C13v34Ev");}
+void  S929C1 ::v35(){vfunc_called(this, "_ZN6S929C13v35Ev");}
+void  S929C1 ::v36(){vfunc_called(this, "_ZN6S929C13v36Ev");}
+void  S929C1 ::v37(){vfunc_called(this, "_ZN6S929C13v37Ev");}
+void  S929C1 ::v38(){vfunc_called(this, "_ZN6S929C13v38Ev");}
+void  S929C1 ::v39(){vfunc_called(this, "_ZN6S929C13v39Ev");}
+void  S929C1 ::v40(){vfunc_called(this, "_ZN6S929C13v40Ev");}
+void  S929C1 ::v41(){vfunc_called(this, "_ZN6S929C13v41Ev");}
+void  S929C1 ::v42(){vfunc_called(this, "_ZN6S929C13v42Ev");}
+void  S929C1 ::v43(){vfunc_called(this, "_ZN6S929C13v43Ev");}
+void  S929C1 ::v44(){vfunc_called(this, "_ZN6S929C13v44Ev");}
+void  S929C1 ::v45(){vfunc_called(this, "_ZN6S929C13v45Ev");}
+void  S929C1 ::v46(){vfunc_called(this, "_ZN6S929C13v46Ev");}
+void  S929C1 ::v47(){vfunc_called(this, "_ZN6S929C13v47Ev");}
+void  S929C1 ::v48(){vfunc_called(this, "_ZN6S929C13v48Ev");}
+void  S929C1 ::v49(){vfunc_called(this, "_ZN6S929C13v49Ev");}
+void  S929C1 ::v50(){vfunc_called(this, "_ZN6S929C13v50Ev");}
+void  S929C1 ::v51(){vfunc_called(this, "_ZN6S929C13v51Ev");}
+void  S929C1 ::v52(){vfunc_called(this, "_ZN6S929C13v52Ev");}
+void  S929C1 ::v53(){vfunc_called(this, "_ZN6S929C13v53Ev");}
+void  S929C1 ::v54(){vfunc_called(this, "_ZN6S929C13v54Ev");}
+S929C1 ::S929C1(){ note_ctor("S929C1", this);} // tgen
+
+static void Test_S929C1()
+{
+  extern Class_Descriptor cd_S929C1;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(332,504)];
+    init_test(&cd_S929C1, buf);
+    S929C1 *dp, &lv = *(dp=new (buf) S929C1());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(2648,2012), "sizeof(S929C1)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S929C1)");
+    check_base_class_offset(lv, (S929C5*)(S929C4*)(S929C3*)(S929C2*), 0, "S929C1");
+    check_base_class_offset(lv, (S929C4*)(S929C3*)(S929C2*), 0, "S929C1");
+    check_base_class_offset(lv, (S929C3*)(S929C2*), 0, "S929C1");
+    check_base_class_offset(lv, (S929C2*), 0, "S929C1");
+    check_base_class_offset(lv, (S929C50*), ABISELECT(1344,1072), "S929C1");
+    check_base_class_offset(lv, (S929C51*), ABISELECT(1352,1076), "S929C1");
+    check_base_class_offset(lv, (S929C52*), ABISELECT(1360,1080), "S929C1");
+    check_field_offset(lv, s929c1f0, ABISELECT(1368,1084), "S929C1.s929c1f0");
+    check_field_offset(lv, s929c1f1, ABISELECT(1424,1112), "S929C1.s929c1f1");
+    check_field_offset(lv, s929c1f2, ABISELECT(1448,1128), "S929C1.s929c1f2");
+    check_field_offset(lv, s929c1f3, ABISELECT(1480,1160), "S929C1.s929c1f3");
+    check_field_offset(lv, s929c1f4, ABISELECT(1488,1164), "S929C1.s929c1f4");
+    check_field_offset(lv, s929c1f5, ABISELECT(1496,1168), "S929C1.s929c1f5");
+    check_field_offset(lv, s929c1f6, ABISELECT(1504,1176), "S929C1.s929c1f6");
+    check_field_offset(lv, s929c1f7, ABISELECT(1512,1180), "S929C1.s929c1f7");
+    check_field_offset(lv, s929c1f8, ABISELECT(1648,1260), "S929C1.s929c1f8");
+    check_field_offset(lv, s929c1f9, ABISELECT(1680,1284), "S929C1.s929c1f9");
+    check_field_offset(lv, s929c1f10, ABISELECT(1688,1288), "S929C1.s929c1f10");
+    check_field_offset(lv, s929c1f11, ABISELECT(1696,1296), "S929C1.s929c1f11");
+    check_field_offset(lv, s929c1f12, ABISELECT(1708,1308), "S929C1.s929c1f12");
+    check_field_offset(lv, s929c1f13, ABISELECT(1712,1312), "S929C1.s929c1f13");
+    check_field_offset(lv, s929c1f14, ABISELECT(1728,1324), "S929C1.s929c1f14");
+    check_field_offset(lv, s929c1f15, ABISELECT(1744,1340), "S929C1.s929c1f15");
+    check_field_offset(lv, s929c1f16, ABISELECT(1748,1344), "S929C1.s929c1f16");
+    check_field_offset(lv, s929c1f17, ABISELECT(1760,1352), "S929C1.s929c1f17");
+    check_field_offset(lv, s929c1f18, ABISELECT(1776,1368), "S929C1.s929c1f18");
+    check_field_offset(lv, s929c1f19, ABISELECT(1920,1488), "S929C1.s929c1f19");
+    check_field_offset(lv, s929c1f20, ABISELECT(2056,1588), "S929C1.s929c1f20");
+    check_field_offset(lv, s929c1f21, ABISELECT(2080,1604), "S929C1.s929c1f21");
+    check_field_offset(lv, s929c1f22, ABISELECT(2088,1608), "S929C1.s929c1f22");
+    check_field_offset(lv, s929c1f23, ABISELECT(2096,1616), "S929C1.s929c1f23");
+    check_field_offset(lv, s929c1f24, ABISELECT(2160,1680), "S929C1.s929c1f24");
+    check_field_offset(lv, s929c1f25, ABISELECT(2200,1708), "S929C1.s929c1f25");
+    check_field_offset(lv, s929c1f26, ABISELECT(2204,1712), "S929C1.s929c1f26");
+    check_field_offset(lv, s929c1f27, ABISELECT(2208,1716), "S929C1.s929c1f27");
+    check_field_offset(lv, s929c1f28, ABISELECT(2216,1720), "S929C1.s929c1f28");
+    check_field_offset(lv, s929c1f29, ABISELECT(2232,1736), "S929C1.s929c1f29");
+    check_field_offset(lv, s929c1f30, ABISELECT(2236,1740), "S929C1.s929c1f30");
+    check_field_offset(lv, s929c1f31, ABISELECT(2240,1744), "S929C1.s929c1f31");
+    check_field_offset(lv, s929c1f32, ABISELECT(2256,1756), "S929C1.s929c1f32");
+    check_field_offset(lv, s929c1f33, ABISELECT(2280,1772), "S929C1.s929c1f33");
+    check_field_offset(lv, s929c1f34, ABISELECT(2288,1776), "S929C1.s929c1f34");
+    check_field_offset(lv, s929c1f35, ABISELECT(2312,1792), "S929C1.s929c1f35");
+    check_field_offset(lv, s929c1f36, ABISELECT(2336,1808), "S929C1.s929c1f36");
+    check_field_offset(lv, s929c1f37, ABISELECT(2344,1812), "S929C1.s929c1f37");
+    check_field_offset(lv, s929c1f38, ABISELECT(2360,1820), "S929C1.s929c1f38");
+    check_field_offset(lv, s929c1f39, ABISELECT(2368,1824), "S929C1.s929c1f39");
+    check_field_offset(lv, s929c1f40, ABISELECT(2376,1832), "S929C1.s929c1f40");
+    check_field_offset(lv, s929c1f41, ABISELECT(2384,1836), "S929C1.s929c1f41");
+    check_field_offset(lv, s929c1f42, ABISELECT(2400,1844), "S929C1.s929c1f42");
+    check_field_offset(lv, s929c1f43, ABISELECT(2416,1852), "S929C1.s929c1f43");
+    check_field_offset(lv, s929c1f44, ABISELECT(2424,1860), "S929C1.s929c1f44");
+    check_field_offset(lv, s929c1f45, ABISELECT(2440,1868), "S929C1.s929c1f45");
+    check_field_offset(lv, s929c1f46, ABISELECT(2448,1872), "S929C1.s929c1f46");
+    check_field_offset(lv, s929c1f47, ABISELECT(2456,1876), "S929C1.s929c1f47");
+    check_field_offset(lv, s929c1f48, ABISELECT(2464,1880), "S929C1.s929c1f48");
+    check_field_offset(lv, s929c1f49, ABISELECT(2472,1884), "S929C1.s929c1f49");
+    check_field_offset(lv, s929c1f50, ABISELECT(2480,1888), "S929C1.s929c1f50");
+    check_field_offset(lv, s929c1f51, ABISELECT(2488,1892), "S929C1.s929c1f51");
+    check_field_offset(lv, s929c1f52, ABISELECT(2504,1900), "S929C1.s929c1f52");
+    check_field_offset(lv, s929c1f53, ABISELECT(2520,1912), "S929C1.s929c1f53");
+    check_field_offset(lv, s929c1f54, ABISELECT(2536,1924), "S929C1.s929c1f54");
+    check_field_offset(lv, s929c1f55, ABISELECT(2552,1936), "S929C1.s929c1f55");
+    check_field_offset(lv, s929c1f56, ABISELECT(2568,1948), "S929C1.s929c1f56");
+    check_field_offset(lv, s929c1f57, ABISELECT(2576,1956), "S929C1.s929c1f57");
+    check_field_offset(lv, s929c1f58, ABISELECT(2624,1992), "S929C1.s929c1f58");
+    check_field_offset(lv, s929c1f59, ABISELECT(2640,2004), "S929C1.s929c1f59");
+    check_field_offset(lv, s929c1f60, ABISELECT(2644,2008), "S929C1.s929c1f60");
+    test_class_info(&lv, &cd_S929C1);
+    dp->~S929C1();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS929C1(Test_S929C1, "S929C1", ABISELECT(2648,2012));
+
+#else // __cplusplus
+
+extern void _ZN6S929C1C1Ev();
+extern void _ZN6S929C1D1Ev();
+Name_Map name_map_S929C1[] = {
+  NSPAIR(_ZN6S929C1C1Ev),
+  NSPAIR(_ZN6S929C1D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S929C5;
+extern VTBL_ENTRY _ZTI6S929C5[];
+extern  VTBL_ENTRY _ZTV6S929C5[];
+extern Class_Descriptor cd_S929C4;
+extern VTBL_ENTRY _ZTI6S929C4[];
+extern  VTBL_ENTRY _ZTV6S929C4[];
+extern Class_Descriptor cd_S929C3;
+extern VTBL_ENTRY _ZTI6S929C3[];
+extern  VTBL_ENTRY _ZTV6S929C3[];
+extern Class_Descriptor cd_S929C2;
+extern VTBL_ENTRY _ZTI6S929C2[];
+extern  VTBL_ENTRY _ZTV6S929C2[];
+extern Class_Descriptor cd_S929C50;
+extern VTBL_ENTRY _ZTI7S929C50[];
+extern  VTBL_ENTRY _ZTV7S929C50[];
+extern Class_Descriptor cd_S929C51;
+extern VTBL_ENTRY _ZTI7S929C51[];
+extern  VTBL_ENTRY _ZTV7S929C51[];
+extern Class_Descriptor cd_S929C52;
+extern VTBL_ENTRY _ZTI7S929C52[];
+extern  VTBL_ENTRY _ZTV7S929C52[];
+static Base_Class bases_S929C1[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S929C5,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    1, //immediately_derived
+  0, 0},
+  {&cd_S929C4,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    2, //init_seq
+    2, //immediately_derived
+  0, 0},
+  {&cd_S929C3,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    3, //init_seq
+    3, //immediately_derived
+  0, 0},
+  {&cd_S929C2,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    4, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {&cd_S929C50,    ABISELECT(1344,1072), //bcp->offset
+    254, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    5, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {&cd_S929C51,    ABISELECT(1352,1076), //bcp->offset
+    262, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    6, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {&cd_S929C52,    ABISELECT(1360,1080), //bcp->offset
+    272, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    7, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI6S929C1[];
+extern void _ZN6S929C1D1Ev();
+extern void _ZN6S929C1D0Ev();
+extern void _ZN6S929C53v55Ev();
+extern void _ZN6S929C53v56Ev();
+extern void _ZN6S929C53v57Ev();
+extern void _ZN6S929C53v58Ev();
+extern void _ZN6S929C53v59Ev();
+extern void _ZN6S929C53v60Ev();
+extern void _ZN6S929C53v61Ev();
+extern void _ZN6S929C53v62Ev();
+extern void _ZN6S929C53v63Ev();
+extern void _ZN6S929C53v64Ev();
+extern void _ZN6S929C53v65Ev();
+extern void _ZN6S929C53v66Ev();
+extern void _ZN6S929C53v67Ev();
+extern void _ZN6S929C53v68Ev();
+extern void _ZN6S929C53v69Ev();
+extern void _ZN6S929C53v70Ev();
+extern void _ZN6S929C53v71Ev();
+extern void _ZN6S929C53v72Ev();
+extern void _ZN6S929C53v73Ev();
+extern void _ZN6S929C53v74Ev();
+extern void _ZN6S929C53v75Ev();
+extern void _ZN6S929C53v76Ev();
+extern void _ZN6S929C53v77Ev();
+extern void _ZN6S929C43v78Ev();
+extern void _ZN6S929C43v79Ev();
+extern void _ZN6S929C43v80Ev();
+extern void _ZN6S929C43v81Ev();
+extern void _ZN6S929C43v82Ev();
+extern void _ZN6S929C43v83Ev();
+extern void _ZN6S929C43v84Ev();
+extern void _ZN6S929C43v85Ev();
+extern void _ZN6S929C43v86Ev();
+extern void _ZN6S929C43v87Ev();
+extern void _ZN6S929C43v88Ev();
+extern void _ZN6S929C43v89Ev();
+extern void _ZN6S929C43v90Ev();
+extern void _ZN6S929C43v91Ev();
+extern void _ZN6S929C43v92Ev();
+extern void _ZN6S929C43v93Ev();
+extern void _ZN6S929C43v94Ev();
+extern void _ZN6S929C43v95Ev();
+extern void _ZN6S929C43v96Ev();
+extern void _ZN6S929C43v97Ev();
+extern void _ZN6S929C43v98Ev();
+extern void _ZN6S929C43v99Ev();
+extern void _ZN6S929C44v100Ev();
+extern void _ZN6S929C44v101Ev();
+extern void _ZN6S929C44v102Ev();
+extern void _ZN6S929C44v103Ev();
+extern void _ZN6S929C44v104Ev();
+extern void _ZN6S929C44v105Ev();
+extern void _ZN6S929C44v106Ev();
+extern void _ZN6S929C44v107Ev();
+extern void _ZN6S929C44v108Ev();
+extern void _ZN6S929C44v109Ev();
+extern void _ZN6S929C44v110Ev();
+extern void _ZN6S929C44v111Ev();
+extern void _ZN6S929C44v112Ev();
+extern void _ZN6S929C44v113Ev();
+extern void _ZN6S929C44v114Ev();
+extern void _ZN6S929C44v115Ev();
+extern void _ZN6S929C44v116Ev();
+extern void _ZN6S929C44v117Ev();
+extern void _ZN6S929C44v118Ev();
+extern void _ZN6S929C44v119Ev();
+extern void _ZN6S929C44v120Ev();
+extern void _ZN6S929C44v121Ev();
+extern void _ZN6S929C44v122Ev();
+extern void _ZN6S929C44v123Ev();
+extern void _ZN6S929C44v124Ev();
+extern void _ZN6S929C44v125Ev();
+extern void _ZN6S929C44v126Ev();
+extern void _ZN6S929C44v127Ev();
+extern void _ZN6S929C44v128Ev();
+extern void _ZN6S929C44v129Ev();
+extern void _ZN6S929C44v130Ev();
+extern void _ZN6S929C44v131Ev();
+extern void _ZN6S929C44v132Ev();
+extern void _ZN6S929C44v133Ev();
+extern void _ZN6S929C44v134Ev();
+extern void _ZN6S929C44v135Ev();
+extern void _ZN6S929C44v136Ev();
+extern void _ZN6S929C44v137Ev();
+extern void _ZN6S929C44v138Ev();
+extern void _ZN6S929C44v139Ev();
+extern void _ZN6S929C44v140Ev();
+extern void _ZN6S929C44v141Ev();
+extern void _ZN6S929C44v142Ev();
+extern void _ZN6S929C44v143Ev();
+extern void _ZN6S929C44v144Ev();
+extern void _ZN6S929C44v145Ev();
+extern void _ZN6S929C44v146Ev();
+extern void _ZN6S929C44v147Ev();
+extern void _ZN6S929C44v148Ev();
+extern void _ZN6S929C44v149Ev();
+extern void _ZN6S929C44v150Ev();
+extern void _ZN6S929C44v151Ev();
+extern void _ZN6S929C44v152Ev();
+extern void _ZN6S929C44v153Ev();
+extern void _ZN6S929C44v154Ev();
+extern void _ZN6S929C44v155Ev();
+extern void _ZN6S929C34v156Ev();
+extern void _ZN6S929C34v157Ev();
+extern void _ZN6S929C34v158Ev();
+extern void _ZN6S929C34v159Ev();
+extern void _ZN6S929C34v160Ev();
+extern void _ZN6S929C34v161Ev();
+extern void _ZN6S929C34v162Ev();
+extern void _ZN6S929C34v163Ev();
+extern void _ZN6S929C34v164Ev();
+extern void _ZN6S929C34v165Ev();
+extern void _ZN6S929C34v166Ev();
+extern void _ZN6S929C34v167Ev();
+extern void _ZN6S929C34v168Ev();
+extern void _ZN6S929C34v169Ev();
+extern void _ZN6S929C34v170Ev();
+extern void _ZN6S929C34v171Ev();
+extern void _ZN6S929C34v172Ev();
+extern void _ZN6S929C34v173Ev();
+extern void _ZN6S929C34v174Ev();
+extern void _ZN6S929C34v175Ev();
+extern void _ZN6S929C34v176Ev();
+extern void _ZN6S929C34v177Ev();
+extern void _ZN6S929C34v178Ev();
+extern void _ZN6S929C34v179Ev();
+extern void _ZN6S929C34v180Ev();
+extern void _ZN6S929C34v181Ev();
+extern void _ZN6S929C34v182Ev();
+extern void _ZN6S929C34v183Ev();
+extern void _ZN6S929C34v184Ev();
+extern void _ZN6S929C34v185Ev();
+extern void _ZN6S929C34v186Ev();
+extern void _ZN6S929C34v187Ev();
+extern void _ZN6S929C34v188Ev();
+extern void _ZN6S929C34v189Ev();
+extern void _ZN6S929C34v190Ev();
+extern void _ZN6S929C34v191Ev();
+extern void _ZN6S929C34v192Ev();
+extern void _ZN6S929C34v193Ev();
+extern void _ZN6S929C34v194Ev();
+extern void _ZN6S929C34v195Ev();
+extern void _ZN6S929C34v196Ev();
+extern void _ZN6S929C34v197Ev();
+extern void _ZN6S929C34v198Ev();
+extern void _ZN6S929C34v199Ev();
+extern void _ZN6S929C34v200Ev();
+extern void _ZN6S929C34v201Ev();
+extern void _ZN6S929C34v202Ev();
+extern void _ZN6S929C34v203Ev();
+extern void _ZN6S929C34v204Ev();
+extern void _ZN6S929C34v205Ev();
+extern void _ZN6S929C34v206Ev();
+extern void _ZN6S929C34v207Ev();
+extern void _ZN6S929C34v208Ev();
+extern void _ZN6S929C34v209Ev();
+extern void _ZN6S929C34v210Ev();
+extern void _ZN6S929C34v211Ev();
+extern void _ZN6S929C34v212Ev();
+extern void _ZN6S929C34v213Ev();
+extern void _ZN6S929C34v214Ev();
+extern void _ZN6S929C34v215Ev();
+extern void _ZN6S929C34v216Ev();
+extern void _ZN6S929C34v217Ev();
+extern void _ZN6S929C34v218Ev();
+extern void _ZN6S929C34v219Ev();
+extern void _ZN6S929C34v220Ev();
+extern void _ZN6S929C34v221Ev();
+extern void _ZN6S929C34v222Ev();
+extern void _ZN6S929C34v223Ev();
+extern void _ZN6S929C34v224Ev();
+extern void _ZN6S929C34v225Ev();
+extern void _ZN6S929C34v226Ev();
+extern void _ZN6S929C34v227Ev();
+extern void _ZN6S929C34v228Ev();
+extern void _ZN6S929C34v229Ev();
+extern void _ZN6S929C34v230Ev();
+extern void _ZN6S929C34v231Ev();
+extern void _ZN6S929C34v232Ev();
+extern void _ZN6S929C34v233Ev();
+extern void _ZN6S929C34v234Ev();
+extern void _ZN6S929C34v235Ev();
+extern void _ZN6S929C34v236Ev();
+extern void _ZN6S929C34v237Ev();
+extern void _ZN6S929C34v238Ev();
+extern void _ZN6S929C34v239Ev();
+extern void _ZN6S929C34v240Ev();
+extern void _ZN6S929C34v241Ev();
+extern void _ZN6S929C24v242Ev();
+extern void _ZN6S929C24v243Ev();
+extern void _ZN6S929C24v244Ev();
+extern void _ZN6S929C24v245Ev();
+extern void _ZN6S929C24v246Ev();
+extern void _ZN6S929C24v247Ev();
+extern void _ZN6S929C24v248Ev();
+extern void _ZN6S929C24v249Ev();
+extern void _ZN6S929C24v250Ev();
+extern void _ZN6S929C12v1Ev();
+extern void _ZN6S929C12v2Ev();
+extern void _ZN6S929C12v3Ev();
+extern void _ZN6S929C12v4Ev();
+extern void _ZN6S929C12v5Ev();
+extern void _ZN6S929C12v6Ev();
+extern void _ZN6S929C12v7Ev();
+extern void _ZN6S929C12v8Ev();
+extern void _ZN6S929C12v9Ev();
+extern void _ZN6S929C13v10Ev();
+extern void _ZN6S929C13v11Ev();
+extern void _ZN6S929C13v12Ev();
+extern void _ZN6S929C13v13Ev();
+extern void _ZN6S929C13v14Ev();
+extern void _ZN6S929C13v15Ev();
+extern void _ZN6S929C13v16Ev();
+extern void _ZN6S929C13v17Ev();
+extern void _ZN6S929C13v18Ev();
+extern void _ZN6S929C13v19Ev();
+extern void _ZN6S929C13v20Ev();
+extern void _ZN6S929C13v21Ev();
+extern void _ZN6S929C13v22Ev();
+extern void _ZN6S929C13v23Ev();
+extern void _ZN6S929C13v24Ev();
+extern void _ZN6S929C13v25Ev();
+extern void _ZN6S929C13v26Ev();
+extern void _ZN6S929C13v27Ev();
+extern void _ZN6S929C13v28Ev();
+extern void _ZN6S929C13v29Ev();
+extern void _ZN6S929C13v30Ev();
+extern void _ZN6S929C13v31Ev();
+extern void _ZN6S929C13v32Ev();
+extern void _ZN6S929C13v33Ev();
+extern void _ZN6S929C13v34Ev();
+extern void _ZN6S929C13v35Ev();
+extern void _ZN6S929C13v36Ev();
+extern void _ZN6S929C13v37Ev();
+extern void _ZN6S929C13v38Ev();
+extern void _ZN6S929C13v39Ev();
+extern void _ZN6S929C13v40Ev();
+extern void _ZN6S929C13v41Ev();
+extern void _ZN6S929C13v42Ev();
+extern void _ZN6S929C13v43Ev();
+extern void _ZN6S929C13v44Ev();
+extern void _ZN6S929C13v45Ev();
+extern void _ZN6S929C13v46Ev();
+extern void _ZN6S929C13v47Ev();
+extern void _ZN6S929C13v48Ev();
+extern void _ZN6S929C13v49Ev();
+extern void _ZN6S929C13v50Ev();
+extern void _ZN6S929C13v51Ev();
+extern void _ZN6S929C13v52Ev();
+extern void _ZN6S929C13v53Ev();
+extern void _ZN6S929C13v54Ev();
+extern void ABISELECT(_ZThn1344_N6S929C1D1Ev,_ZThn1072_N6S929C1D1Ev)();
+extern void ABISELECT(_ZThn1344_N6S929C1D0Ev,_ZThn1072_N6S929C1D0Ev)();
+extern void _ZN7S929C504v251Ev();
+extern void _ZN7S929C504v252Ev();
+extern void _ZN7S929C504v253Ev();
+extern void _ZN7S929C504v254Ev();
+extern void ABISELECT(_ZThn1352_N6S929C1D1Ev,_ZThn1076_N6S929C1D1Ev)();
+extern void ABISELECT(_ZThn1352_N6S929C1D0Ev,_ZThn1076_N6S929C1D0Ev)();
+extern void _ZN7S929C514v255Ev();
+extern void _ZN7S929C514v256Ev();
+extern void _ZN7S929C514v257Ev();
+extern void _ZN7S929C514v258Ev();
+extern void _ZN7S929C514v259Ev();
+extern void _ZN7S929C514v260Ev();
+extern void ABISELECT(_ZThn1360_N6S929C1D1Ev,_ZThn1080_N6S929C1D1Ev)();
+extern void ABISELECT(_ZThn1360_N6S929C1D0Ev,_ZThn1080_N6S929C1D0Ev)();
+extern void _ZN7S929C524v261Ev();
+extern void _ZN7S929C524v262Ev();
+extern void _ZN7S929C524v263Ev();
+extern void _ZN7S929C524v264Ev();
+extern void _ZN7S929C524v265Ev();
+extern void _ZN7S929C524v266Ev();
+extern void _ZN7S929C524v267Ev();
+extern void _ZN7S929C524v268Ev();
+static  VTBL_ENTRY vtc_S929C1[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S929C1[0]),
+  (VTBL_ENTRY)&_ZN6S929C1D1Ev,
+  (VTBL_ENTRY)&_ZN6S929C1D0Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v55Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v56Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v57Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v58Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v59Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v60Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v61Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v62Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v63Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v64Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v65Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S929C53v77Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v78Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v79Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v80Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v81Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v82Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v83Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v84Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v85Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v86Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v87Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v88Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v89Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v90Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v91Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v92Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v93Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v94Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v95Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v96Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v97Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v98Ev,
+  (VTBL_ENTRY)&_ZN6S929C43v99Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v100Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v101Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v102Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v103Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v104Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v105Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v106Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v107Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v108Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v109Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v110Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v111Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v112Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v113Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v114Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v115Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v116Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v117Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v118Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v119Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v120Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v121Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v122Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v123Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v124Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v125Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v126Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v127Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v128Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v129Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v130Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v131Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v132Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v133Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v134Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v135Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v136Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v137Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v138Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v139Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v140Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v141Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v142Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v143Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v144Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v145Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v146Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v147Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v148Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v149Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v150Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v151Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v152Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v153Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v154Ev,
+  (VTBL_ENTRY)&_ZN6S929C44v155Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v156Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v157Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v158Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v159Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v160Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v161Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v162Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v163Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v164Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v165Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v166Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v167Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v168Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v169Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v170Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v171Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v172Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v173Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v174Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v175Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v176Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v177Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v178Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v179Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v180Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v181Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v182Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v183Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v184Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v185Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v186Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v187Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v188Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v189Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v190Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v191Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v192Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v193Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v194Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v195Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v196Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v197Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v198Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v199Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v200Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v201Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v202Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v203Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v204Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v205Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v206Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v207Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v208Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v209Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v210Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v211Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v212Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v213Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v214Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v215Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v216Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v217Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v218Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v219Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v220Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v221Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v222Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v223Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v224Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v225Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v226Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v227Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v228Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v229Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v230Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v231Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v232Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v233Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v234Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v235Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v236Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v237Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v238Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v239Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v240Ev,
+  (VTBL_ENTRY)&_ZN6S929C34v241Ev,
+  (VTBL_ENTRY)&_ZN6S929C24v242Ev,
+  (VTBL_ENTRY)&_ZN6S929C24v243Ev,
+  (VTBL_ENTRY)&_ZN6S929C24v244Ev,
+  (VTBL_ENTRY)&_ZN6S929C24v245Ev,
+  (VTBL_ENTRY)&_ZN6S929C24v246Ev,
+  (VTBL_ENTRY)&_ZN6S929C24v247Ev,
+  (VTBL_ENTRY)&_ZN6S929C24v248Ev,
+  (VTBL_ENTRY)&_ZN6S929C24v249Ev,
+  (VTBL_ENTRY)&_ZN6S929C24v250Ev,
+  (VTBL_ENTRY)&_ZN6S929C12v1Ev,
+  (VTBL_ENTRY)&_ZN6S929C12v2Ev,
+  (VTBL_ENTRY)&_ZN6S929C12v3Ev,
+  (VTBL_ENTRY)&_ZN6S929C12v4Ev,
+  (VTBL_ENTRY)&_ZN6S929C12v5Ev,
+  (VTBL_ENTRY)&_ZN6S929C12v6Ev,
+  (VTBL_ENTRY)&_ZN6S929C12v7Ev,
+  (VTBL_ENTRY)&_ZN6S929C12v8Ev,
+  (VTBL_ENTRY)&_ZN6S929C12v9Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v10Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v11Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v12Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v13Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v14Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v15Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v16Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v17Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v18Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v19Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v20Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v21Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v22Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v23Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v24Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v25Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v26Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v27Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v28Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v29Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v30Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v31Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v32Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v33Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v34Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v35Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v36Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v37Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v38Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v39Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v40Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v41Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v42Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v43Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v44Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v45Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v46Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v47Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v48Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v49Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v50Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v51Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v52Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v53Ev,
+  (VTBL_ENTRY)&_ZN6S929C13v54Ev,
+  ABISELECT(-1344,-1072),
+  (VTBL_ENTRY)&(_ZTI6S929C1[0]),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1344_N6S929C1D1Ev,_ZThn1072_N6S929C1D1Ev),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1344_N6S929C1D0Ev,_ZThn1072_N6S929C1D0Ev),
+  (VTBL_ENTRY)&_ZN7S929C504v251Ev,
+  (VTBL_ENTRY)&_ZN7S929C504v252Ev,
+  (VTBL_ENTRY)&_ZN7S929C504v253Ev,
+  (VTBL_ENTRY)&_ZN7S929C504v254Ev,
+  ABISELECT(-1352,-1076),
+  (VTBL_ENTRY)&(_ZTI6S929C1[0]),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1352_N6S929C1D1Ev,_ZThn1076_N6S929C1D1Ev),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1352_N6S929C1D0Ev,_ZThn1076_N6S929C1D0Ev),
+  (VTBL_ENTRY)&_ZN7S929C514v255Ev,
+  (VTBL_ENTRY)&_ZN7S929C514v256Ev,
+  (VTBL_ENTRY)&_ZN7S929C514v257Ev,
+  (VTBL_ENTRY)&_ZN7S929C514v258Ev,
+  (VTBL_ENTRY)&_ZN7S929C514v259Ev,
+  (VTBL_ENTRY)&_ZN7S929C514v260Ev,
+  ABISELECT(-1360,-1080),
+  (VTBL_ENTRY)&(_ZTI6S929C1[0]),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1360_N6S929C1D1Ev,_ZThn1080_N6S929C1D1Ev),
+  (VTBL_ENTRY)&ABISELECT(_ZThn1360_N6S929C1D0Ev,_ZThn1080_N6S929C1D0Ev),
+  (VTBL_ENTRY)&_ZN7S929C524v261Ev,
+  (VTBL_ENTRY)&_ZN7S929C524v262Ev,
+  (VTBL_ENTRY)&_ZN7S929C524v263Ev,
+  (VTBL_ENTRY)&_ZN7S929C524v264Ev,
+  (VTBL_ENTRY)&_ZN7S929C524v265Ev,
+  (VTBL_ENTRY)&_ZN7S929C524v266Ev,
+  (VTBL_ENTRY)&_ZN7S929C524v267Ev,
+  (VTBL_ENTRY)&_ZN7S929C524v268Ev,
+};
+extern VTBL_ENTRY _ZTI6S929C1[];
+extern  VTBL_ENTRY _ZTV6S929C1[];
+Class_Descriptor cd_S929C1 = {  "S929C1", // class name
+  bases_S929C1, 7,
+  &(vtc_S929C1[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(2648,2012), // object size
+  NSPAIRA(_ZTI6S929C1),ABISELECT(88,48), //typeinfo_var
+  NSPAIRA(_ZTV6S929C1),284, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  7, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S9645C2_nt  {
+  virtual  ~S9645C2_nt(); // _ZN10S9645C2_ntD1Ev
+  virtual void  v35(); // _ZN10S9645C2_nt3v35Ev
+  virtual void  v36(); // _ZN10S9645C2_nt3v36Ev
+  virtual void  v37(); // _ZN10S9645C2_nt3v37Ev
+  virtual void  v38(); // _ZN10S9645C2_nt3v38Ev
+  virtual void  v39(); // _ZN10S9645C2_nt3v39Ev
+  virtual void  v40(); // _ZN10S9645C2_nt3v40Ev
+  virtual void  v41(); // _ZN10S9645C2_nt3v41Ev
+  virtual void  v42(); // _ZN10S9645C2_nt3v42Ev
+  virtual void  v43(); // _ZN10S9645C2_nt3v43Ev
+  virtual void  v44(); // _ZN10S9645C2_nt3v44Ev
+  virtual void  v45(); // _ZN10S9645C2_nt3v45Ev
+  virtual void  v46(); // _ZN10S9645C2_nt3v46Ev
+  virtual void  v47(); // _ZN10S9645C2_nt3v47Ev
+  virtual void  v48(); // _ZN10S9645C2_nt3v48Ev
+  virtual void  v49(); // _ZN10S9645C2_nt3v49Ev
+  virtual void  v50(); // _ZN10S9645C2_nt3v50Ev
+  virtual void  v51(); // _ZN10S9645C2_nt3v51Ev
+  virtual void  v52(); // _ZN10S9645C2_nt3v52Ev
+  virtual void  v53(); // _ZN10S9645C2_nt3v53Ev
+  S9645C2_nt(); // tgen
+};
+//SIG(-1 S9645C2_nt) C1{ vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19}
+
+
+ S9645C2_nt ::~S9645C2_nt(){ note_dtor("S9645C2_nt", this);}
+void  S9645C2_nt ::v35(){vfunc_called(this, "_ZN10S9645C2_nt3v35Ev");}
+void  S9645C2_nt ::v36(){vfunc_called(this, "_ZN10S9645C2_nt3v36Ev");}
+void  S9645C2_nt ::v37(){vfunc_called(this, "_ZN10S9645C2_nt3v37Ev");}
+void  S9645C2_nt ::v38(){vfunc_called(this, "_ZN10S9645C2_nt3v38Ev");}
+void  S9645C2_nt ::v39(){vfunc_called(this, "_ZN10S9645C2_nt3v39Ev");}
+void  S9645C2_nt ::v40(){vfunc_called(this, "_ZN10S9645C2_nt3v40Ev");}
+void  S9645C2_nt ::v41(){vfunc_called(this, "_ZN10S9645C2_nt3v41Ev");}
+void  S9645C2_nt ::v42(){vfunc_called(this, "_ZN10S9645C2_nt3v42Ev");}
+void  S9645C2_nt ::v43(){vfunc_called(this, "_ZN10S9645C2_nt3v43Ev");}
+void  S9645C2_nt ::v44(){vfunc_called(this, "_ZN10S9645C2_nt3v44Ev");}
+void  S9645C2_nt ::v45(){vfunc_called(this, "_ZN10S9645C2_nt3v45Ev");}
+void  S9645C2_nt ::v46(){vfunc_called(this, "_ZN10S9645C2_nt3v46Ev");}
+void  S9645C2_nt ::v47(){vfunc_called(this, "_ZN10S9645C2_nt3v47Ev");}
+void  S9645C2_nt ::v48(){vfunc_called(this, "_ZN10S9645C2_nt3v48Ev");}
+void  S9645C2_nt ::v49(){vfunc_called(this, "_ZN10S9645C2_nt3v49Ev");}
+void  S9645C2_nt ::v50(){vfunc_called(this, "_ZN10S9645C2_nt3v50Ev");}
+void  S9645C2_nt ::v51(){vfunc_called(this, "_ZN10S9645C2_nt3v51Ev");}
+void  S9645C2_nt ::v52(){vfunc_called(this, "_ZN10S9645C2_nt3v52Ev");}
+void  S9645C2_nt ::v53(){vfunc_called(this, "_ZN10S9645C2_nt3v53Ev");}
+S9645C2_nt ::S9645C2_nt(){ note_ctor("S9645C2_nt", this);} // tgen
+
+//skip512 S9645C2_nt
+
+#else // __cplusplus
+
+extern void _ZN10S9645C2_ntC1Ev();
+extern void _ZN10S9645C2_ntD1Ev();
+Name_Map name_map_S9645C2_nt[] = {
+  NSPAIR(_ZN10S9645C2_ntC1Ev),
+  NSPAIR(_ZN10S9645C2_ntD1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI10S9645C2_nt[];
+extern void _ZN10S9645C2_ntD1Ev();
+extern void _ZN10S9645C2_ntD0Ev();
+extern void _ZN10S9645C2_nt3v35Ev();
+extern void _ZN10S9645C2_nt3v36Ev();
+extern void _ZN10S9645C2_nt3v37Ev();
+extern void _ZN10S9645C2_nt3v38Ev();
+extern void _ZN10S9645C2_nt3v39Ev();
+extern void _ZN10S9645C2_nt3v40Ev();
+extern void _ZN10S9645C2_nt3v41Ev();
+extern void _ZN10S9645C2_nt3v42Ev();
+extern void _ZN10S9645C2_nt3v43Ev();
+extern void _ZN10S9645C2_nt3v44Ev();
+extern void _ZN10S9645C2_nt3v45Ev();
+extern void _ZN10S9645C2_nt3v46Ev();
+extern void _ZN10S9645C2_nt3v47Ev();
+extern void _ZN10S9645C2_nt3v48Ev();
+extern void _ZN10S9645C2_nt3v49Ev();
+extern void _ZN10S9645C2_nt3v50Ev();
+extern void _ZN10S9645C2_nt3v51Ev();
+extern void _ZN10S9645C2_nt3v52Ev();
+extern void _ZN10S9645C2_nt3v53Ev();
+static  VTBL_ENTRY vtc_S9645C2_nt[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI10S9645C2_nt[0]),
+  (VTBL_ENTRY)&_ZN10S9645C2_ntD1Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_ntD0Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v35Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v36Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v37Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v38Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v39Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v40Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v41Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v42Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v43Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v44Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v45Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v46Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v47Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v48Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v49Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v50Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v51Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v52Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v53Ev,
+};
+extern VTBL_ENTRY _ZTI10S9645C2_nt[];
+VTBL_ENTRY *P__ZTI10S9645C2_nt = _ZTI10S9645C2_nt; 
+extern  VTBL_ENTRY _ZTV10S9645C2_nt[];
+Class_Descriptor cd_S9645C2_nt = {  "S9645C2_nt", // class name
+  0,0,//no base classes
+  &(vtc_S9645C2_nt[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(8,4), // object size
+  NSPAIRA(_ZTI10S9645C2_nt),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV10S9645C2_nt),23, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  0, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S9645C4  {
+  void *s9645c4f0;
+  int s9645c4f1;
+  ::S938C45 s9645c4f2;
+  ::S938C26 s9645c4f3;
+  int s9645c4f4;
+  virtual  ~S9645C4(); // _ZN7S9645C4D1Ev
+  virtual void  v54(); // _ZN7S9645C43v54Ev
+  virtual void  v55(); // _ZN7S9645C43v55Ev
+  virtual void  v56(); // _ZN7S9645C43v56Ev
+  virtual void  v57(); // _ZN7S9645C43v57Ev
+  virtual void  v58(); // _ZN7S9645C43v58Ev
+  virtual void  v59(); // _ZN7S9645C43v59Ev
+  virtual void  v60(); // _ZN7S9645C43v60Ev
+  virtual void  v61(); // _ZN7S9645C43v61Ev
+  virtual void  v62(); // _ZN7S9645C43v62Ev
+  virtual void  v63(); // _ZN7S9645C43v63Ev
+  virtual void  v64(); // _ZN7S9645C43v64Ev
+  virtual void  v65(); // _ZN7S9645C43v65Ev
+  virtual void  v66(); // _ZN7S9645C43v66Ev
+  virtual void  v67(); // _ZN7S9645C43v67Ev
+  virtual void  v68(); // _ZN7S9645C43v68Ev
+  virtual void  v69(); // _ZN7S9645C43v69Ev
+  virtual void  v70(); // _ZN7S9645C43v70Ev
+  virtual void  v71(); // _ZN7S9645C43v71Ev
+  virtual void  v72(); // _ZN7S9645C43v72Ev
+  virtual void  v73(); // _ZN7S9645C43v73Ev
+  virtual void  v74(); // _ZN7S9645C43v74Ev
+  virtual void  v75(); // _ZN7S9645C43v75Ev
+  virtual void  v76(); // _ZN7S9645C43v76Ev
+  S9645C4(); // tgen
+};
+//SIG(-1 S9645C4) C1{ vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 Fp Fi FC2{ BC3{ m Fp Fi FC4{ m} FC5{ m}}} FC6{ BC7{ m Fp Fi FC8{ m} FC9{ m}}} Fi}
+
+
+ S9645C4 ::~S9645C4(){ note_dtor("S9645C4", this);}
+void  S9645C4 ::v54(){vfunc_called(this, "_ZN7S9645C43v54Ev");}
+void  S9645C4 ::v55(){vfunc_called(this, "_ZN7S9645C43v55Ev");}
+void  S9645C4 ::v56(){vfunc_called(this, "_ZN7S9645C43v56Ev");}
+void  S9645C4 ::v57(){vfunc_called(this, "_ZN7S9645C43v57Ev");}
+void  S9645C4 ::v58(){vfunc_called(this, "_ZN7S9645C43v58Ev");}
+void  S9645C4 ::v59(){vfunc_called(this, "_ZN7S9645C43v59Ev");}
+void  S9645C4 ::v60(){vfunc_called(this, "_ZN7S9645C43v60Ev");}
+void  S9645C4 ::v61(){vfunc_called(this, "_ZN7S9645C43v61Ev");}
+void  S9645C4 ::v62(){vfunc_called(this, "_ZN7S9645C43v62Ev");}
+void  S9645C4 ::v63(){vfunc_called(this, "_ZN7S9645C43v63Ev");}
+void  S9645C4 ::v64(){vfunc_called(this, "_ZN7S9645C43v64Ev");}
+void  S9645C4 ::v65(){vfunc_called(this, "_ZN7S9645C43v65Ev");}
+void  S9645C4 ::v66(){vfunc_called(this, "_ZN7S9645C43v66Ev");}
+void  S9645C4 ::v67(){vfunc_called(this, "_ZN7S9645C43v67Ev");}
+void  S9645C4 ::v68(){vfunc_called(this, "_ZN7S9645C43v68Ev");}
+void  S9645C4 ::v69(){vfunc_called(this, "_ZN7S9645C43v69Ev");}
+void  S9645C4 ::v70(){vfunc_called(this, "_ZN7S9645C43v70Ev");}
+void  S9645C4 ::v71(){vfunc_called(this, "_ZN7S9645C43v71Ev");}
+void  S9645C4 ::v72(){vfunc_called(this, "_ZN7S9645C43v72Ev");}
+void  S9645C4 ::v73(){vfunc_called(this, "_ZN7S9645C43v73Ev");}
+void  S9645C4 ::v74(){vfunc_called(this, "_ZN7S9645C43v74Ev");}
+void  S9645C4 ::v75(){vfunc_called(this, "_ZN7S9645C43v75Ev");}
+void  S9645C4 ::v76(){vfunc_called(this, "_ZN7S9645C43v76Ev");}
+S9645C4 ::S9645C4(){ note_ctor("S9645C4", this);} // tgen
+
+static void Test_S9645C4()
+{
+  extern Class_Descriptor cd_S9645C4;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(9,11)];
+    init_test(&cd_S9645C4, buf);
+    S9645C4 *dp, &lv = *(dp=new (buf) S9645C4());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(64,40), "sizeof(S9645C4)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S9645C4)");
+    check_field_offset(lv, s9645c4f0, ABISELECT(8,4), "S9645C4.s9645c4f0");
+    check_field_offset(lv, s9645c4f1, ABISELECT(16,8), "S9645C4.s9645c4f1");
+    check_field_offset(lv, s9645c4f2, ABISELECT(24,12), "S9645C4.s9645c4f2");
+    check_field_offset(lv, s9645c4f3, ABISELECT(40,24), "S9645C4.s9645c4f3");
+    check_field_offset(lv, s9645c4f4, ABISELECT(56,36), "S9645C4.s9645c4f4");
+    test_class_info(&lv, &cd_S9645C4);
+    dp->~S9645C4();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS9645C4(Test_S9645C4, "S9645C4", ABISELECT(64,40));
+
+#else // __cplusplus
+
+extern void _ZN7S9645C4C1Ev();
+extern void _ZN7S9645C4D1Ev();
+Name_Map name_map_S9645C4[] = {
+  NSPAIR(_ZN7S9645C4C1Ev),
+  NSPAIR(_ZN7S9645C4D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI7S9645C4[];
+extern void _ZN7S9645C4D1Ev();
+extern void _ZN7S9645C4D0Ev();
+extern void _ZN7S9645C43v54Ev();
+extern void _ZN7S9645C43v55Ev();
+extern void _ZN7S9645C43v56Ev();
+extern void _ZN7S9645C43v57Ev();
+extern void _ZN7S9645C43v58Ev();
+extern void _ZN7S9645C43v59Ev();
+extern void _ZN7S9645C43v60Ev();
+extern void _ZN7S9645C43v61Ev();
+extern void _ZN7S9645C43v62Ev();
+extern void _ZN7S9645C43v63Ev();
+extern void _ZN7S9645C43v64Ev();
+extern void _ZN7S9645C43v65Ev();
+extern void _ZN7S9645C43v66Ev();
+extern void _ZN7S9645C43v67Ev();
+extern void _ZN7S9645C43v68Ev();
+extern void _ZN7S9645C43v69Ev();
+extern void _ZN7S9645C43v70Ev();
+extern void _ZN7S9645C43v71Ev();
+extern void _ZN7S9645C43v72Ev();
+extern void _ZN7S9645C43v73Ev();
+extern void _ZN7S9645C43v74Ev();
+extern void _ZN7S9645C43v75Ev();
+extern void _ZN7S9645C43v76Ev();
+static  VTBL_ENTRY vtc_S9645C4[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI7S9645C4[0]),
+  (VTBL_ENTRY)&_ZN7S9645C4D1Ev,
+  (VTBL_ENTRY)&_ZN7S9645C4D0Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v54Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v55Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v56Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v57Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v58Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v59Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v60Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v61Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v62Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v63Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v64Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v65Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v66Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v67Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v68Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v69Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v70Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v71Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v72Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v73Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v74Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v75Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v76Ev,
+};
+extern VTBL_ENTRY _ZTI7S9645C4[];
+extern  VTBL_ENTRY _ZTV7S9645C4[];
+Class_Descriptor cd_S9645C4 = {  "S9645C4", // class name
+  0,0,//no base classes
+  &(vtc_S9645C4[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(64,40), // object size
+  NSPAIRA(_ZTI7S9645C4),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV7S9645C4),27, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S9645C3  : S9645C4 {
+  ::S938C60 s9645c3f0;
+  ::S938C60 s9645c3f1;
+  ::S938C60 s9645c3f2;
+  ::S938C14_nt s9645c3f3;
+  ::S938C18 s9645c3f4;
+  ::S938C19_nt s9645c3f5;
+  ::S938C19_nt s9645c3f6;
+  ::S938C60 s9645c3f7;
+  ::S938C60 s9645c3f8;
+  ::S938C60 s9645c3f9;
+  void *s9645c3f10;
+  ::S938C18 s9645c3f11;
+  ::S938C20_nt s9645c3f12;
+  int s9645c3f13;
+  void *s9645c3f14[7];
+  ::S938C66 s9645c3f15;
+  int s9645c3f16:8;
+  int s9645c3f17:8;
+  int s9645c3f18:16;
+  int s9645c3f19:16;
+  int s9645c3f20:8;
+  int s9645c3f21:8;
+  int s9645c3f22:8;
+  int s9645c3f23:32;
+  int s9645c3f24:8;
+  int s9645c3f25:4;
+  int s9645c3f26:4;
+  int s9645c3f27:4;
+  int s9645c3f28:4;
+  int s9645c3f29:4;
+  int s9645c3f30:4;
+  int s9645c3f31:4;
+  int s9645c3f32:4;
+  int s9645c3f33:4;
+  int s9645c3f34:4;
+  unsigned char s9645c3f35:1;
+  unsigned char s9645c3f36:1;
+  unsigned char s9645c3f37:1;
+  unsigned char s9645c3f38:1;
+  unsigned char s9645c3f39:1;
+  unsigned char s9645c3f40:1;
+  unsigned char s9645c3f41:1;
+  unsigned char s9645c3f42:1;
+  unsigned char s9645c3f43:1;
+  unsigned char s9645c3f44:1;
+  unsigned char s9645c3f45:1;
+  unsigned char s9645c3f46:1;
+  unsigned char s9645c3f47:1;
+  unsigned char s9645c3f48:1;
+  unsigned char s9645c3f49:1;
+  unsigned char s9645c3f50:1;
+  unsigned char s9645c3f51:1;
+  unsigned char s9645c3f52:1;
+  unsigned char s9645c3f53:1;
+  unsigned char s9645c3f54:1;
+  unsigned char s9645c3f55:1;
+  unsigned char s9645c3f56:1;
+  unsigned char s9645c3f57:1;
+  unsigned char s9645c3f58:1;
+  unsigned char s9645c3f59:1;
+  unsigned char s9645c3f60:1;
+  unsigned char s9645c3f61:1;
+  unsigned char s9645c3f62:1;
+  unsigned char s9645c3f63:1;
+  unsigned char s9645c3f64:1;
+  unsigned char s9645c3f65:1;
+  unsigned char s9645c3f66:1;
+  unsigned char s9645c3f67:1;
+  unsigned char s9645c3f68:1;
+  unsigned char s9645c3f69:1;
+  unsigned char s9645c3f70:1;
+  unsigned char s9645c3f71:1;
+  unsigned char s9645c3f72:1;
+  unsigned char s9645c3f73:1;
+  unsigned char s9645c3f74:1;
+  unsigned char s9645c3f75:1;
+  int s9645c3f76[7];
+  void *s9645c3f77[6];
+  int s9645c3f78:8;
+  int s9645c3f79:8;
+  int s9645c3f80:8;
+  int s9645c3f81:8;
+  int s9645c3f82:8;
+  int s9645c3f83:8;
+  ::S938C6_nt s9645c3f84;
+  void *s9645c3f85[4];
+  int s9645c3f86:8;
+  int s9645c3f87:8;
+  int s9645c3f88:8;
+  int s9645c3f89:8;
+  int s9645c3f90:8;
+  int s9645c3f91:16;
+  int s9645c3f92:16;
+  int s9645c3f93:16;
+  int s9645c3f94:4;
+  int s9645c3f95:4;
+  int s9645c3f96:4;
+  int s9645c3f97:4;
+  int s9645c3f98:4;
+  int s9645c3f99:4;
+  int s9645c3f100:4;
+  int s9645c3f101:4;
+  int s9645c3f102:4;
+  int s9645c3f103:4;
+  int s9645c3f104:4;
+  int s9645c3f105:4;
+  int s9645c3f106:8;
+  int s9645c3f107:8;
+  int s9645c3f108:8;
+  void *s9645c3f109[7];
+  ::S938C38 s9645c3f110;
+  void *s9645c3f111[2];
+  int s9645c3f112:16;
+  int s9645c3f113:16;
+  ::S928C45 s9645c3f114;
+  ::S938C30_nt s9645c3f115;
+  ::S929C68 s9645c3f116;
+  void *s9645c3f117[2];
+  int s9645c3f118:24;
+  int s9645c3f119:8;
+  void *s9645c3f120;
+  virtual  ~S9645C3(); // _ZN7S9645C3D1Ev
+  virtual void  v77(); // _ZN7S9645C33v77Ev
+  virtual void  v78(); // _ZN7S9645C33v78Ev
+  virtual void  v79(); // _ZN7S9645C33v79Ev
+  virtual void  v80(); // _ZN7S9645C33v80Ev
+  virtual void  v81(); // _ZN7S9645C33v81Ev
+  virtual void  v82(); // _ZN7S9645C33v82Ev
+  virtual void  v83(); // _ZN7S9645C33v83Ev
+  virtual void  v84(); // _ZN7S9645C33v84Ev
+  virtual void  v85(); // _ZN7S9645C33v85Ev
+  virtual void  v86(); // _ZN7S9645C33v86Ev
+  virtual void  v87(); // _ZN7S9645C33v87Ev
+  virtual void  v88(); // _ZN7S9645C33v88Ev
+  virtual void  v89(); // _ZN7S9645C33v89Ev
+  virtual void  v90(); // _ZN7S9645C33v90Ev
+  virtual void  v91(); // _ZN7S9645C33v91Ev
+  virtual void  v92(); // _ZN7S9645C33v92Ev
+  virtual void  v93(); // _ZN7S9645C33v93Ev
+  virtual void  v94(); // _ZN7S9645C33v94Ev
+  virtual void  v95(); // _ZN7S9645C33v95Ev
+  virtual void  v96(); // _ZN7S9645C33v96Ev
+  virtual void  v97(); // _ZN7S9645C33v97Ev
+  virtual void  v98(); // _ZN7S9645C33v98Ev
+  virtual void  v99(); // _ZN7S9645C33v99Ev
+  virtual void  v100(); // _ZN7S9645C34v100Ev
+  virtual void  v101(); // _ZN7S9645C34v101Ev
+  virtual void  v102(); // _ZN7S9645C34v102Ev
+  virtual void  v103(); // _ZN7S9645C34v103Ev
+  virtual void  v104(); // _ZN7S9645C34v104Ev
+  virtual void  v105(); // _ZN7S9645C34v105Ev
+  virtual void  v106(); // _ZN7S9645C34v106Ev
+  virtual void  v107(); // _ZN7S9645C34v107Ev
+  virtual void  v108(); // _ZN7S9645C34v108Ev
+  virtual void  v109(); // _ZN7S9645C34v109Ev
+  virtual void  v110(); // _ZN7S9645C34v110Ev
+  virtual void  v111(); // _ZN7S9645C34v111Ev
+  virtual void  v112(); // _ZN7S9645C34v112Ev
+  virtual void  v113(); // _ZN7S9645C34v113Ev
+  virtual void  v114(); // _ZN7S9645C34v114Ev
+  virtual void  v115(); // _ZN7S9645C34v115Ev
+  virtual void  v116(); // _ZN7S9645C34v116Ev
+  virtual void  v117(); // _ZN7S9645C34v117Ev
+  virtual void  v118(); // _ZN7S9645C34v118Ev
+  virtual void  v119(); // _ZN7S9645C34v119Ev
+  virtual void  v120(); // _ZN7S9645C34v120Ev
+  virtual void  v121(); // _ZN7S9645C34v121Ev
+  virtual void  v122(); // _ZN7S9645C34v122Ev
+  virtual void  v123(); // _ZN7S9645C34v123Ev
+  virtual void  v124(); // _ZN7S9645C34v124Ev
+  virtual void  v125(); // _ZN7S9645C34v125Ev
+  virtual void  v126(); // _ZN7S9645C34v126Ev
+  virtual void  v127(); // _ZN7S9645C34v127Ev
+  virtual void  v128(); // _ZN7S9645C34v128Ev
+  virtual void  v129(); // _ZN7S9645C34v129Ev
+  virtual void  v130(); // _ZN7S9645C34v130Ev
+  virtual void  v131(); // _ZN7S9645C34v131Ev
+  virtual void  v132(); // _ZN7S9645C34v132Ev
+  virtual void  v133(); // _ZN7S9645C34v133Ev
+  virtual void  v134(); // _ZN7S9645C34v134Ev
+  virtual void  v135(); // _ZN7S9645C34v135Ev
+  virtual void  v136(); // _ZN7S9645C34v136Ev
+  virtual void  v137(); // _ZN7S9645C34v137Ev
+  virtual void  v138(); // _ZN7S9645C34v138Ev
+  virtual void  v139(); // _ZN7S9645C34v139Ev
+  virtual void  v140(); // _ZN7S9645C34v140Ev
+  virtual void  v141(); // _ZN7S9645C34v141Ev
+  virtual void  v142(); // _ZN7S9645C34v142Ev
+  virtual void  v143(); // _ZN7S9645C34v143Ev
+  virtual void  v144(); // _ZN7S9645C34v144Ev
+  virtual void  v145(); // _ZN7S9645C34v145Ev
+  virtual void  v146(); // _ZN7S9645C34v146Ev
+  virtual void  v147(); // _ZN7S9645C34v147Ev
+  virtual void  v148(); // _ZN7S9645C34v148Ev
+  virtual void  v149(); // _ZN7S9645C34v149Ev
+  virtual void  v150(); // _ZN7S9645C34v150Ev
+  virtual void  v151(); // _ZN7S9645C34v151Ev
+  virtual void  v152(); // _ZN7S9645C34v152Ev
+  virtual void  v153(); // _ZN7S9645C34v153Ev
+  virtual void  v154(); // _ZN7S9645C34v154Ev
+  S9645C3(); // tgen
+};
+//SIG(-1 S9645C3) C1{ BC2{ vd v79 v80 v81 v82 v83 v84 v85 v86 v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 Fp Fi FC3{ BC4{ m Fp Fi FC5{ m} FC6{ m}}} FC7{ BC8{ m Fp Fi FC9{ m} FC10{ m}}} Fi} vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53 v54 v55 v56 v57 v58 v59 v60 v61 v62 v63 v64 v65 v66 v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 v78 FC11{ m FC12{ m FL[2]}} FC11 FC11 FC13{ m FC12} FC14{ m FC13} FC15{ m FC13 FC13 FC13 FC13} FC15 FC11 FC11 FC11 Fp FC14 FC16{ m FC17{ m Fp Fi FC18{ m}} Fi} Fi Fp[7] FC19{ m Fp Fi FC6 FC20{ m}} Fi:8 Fi:8 Fi:16 Fi:16 Fi:8 Fi:8 Fi:8 Fi:32 Fi:8 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 !
 Fc:1 Fc:1
  Fc:1 Fc:1 Fi[7] Fp[6] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 FC21{ BC22{ m Fp Fi FC23{ m} FC23}} Fp[4] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:16 Fi:16 Fi:16 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:8 Fi:8 Fi:8 Fp[7] FC24{ m Fp Fi[2] FC5} Fp[2] Fi:16 Fi:16 FC25{ BC8} FC26{ m Fp Fi[2] FC10} FC27{ m Fp Fi[2] FC20} Fp[2] Fi:24 Fi:8 Fp}
+
+
+ S9645C3 ::~S9645C3(){ note_dtor("S9645C3", this);}
+void  S9645C3 ::v77(){vfunc_called(this, "_ZN7S9645C33v77Ev");}
+void  S9645C3 ::v78(){vfunc_called(this, "_ZN7S9645C33v78Ev");}
+void  S9645C3 ::v79(){vfunc_called(this, "_ZN7S9645C33v79Ev");}
+void  S9645C3 ::v80(){vfunc_called(this, "_ZN7S9645C33v80Ev");}
+void  S9645C3 ::v81(){vfunc_called(this, "_ZN7S9645C33v81Ev");}
+void  S9645C3 ::v82(){vfunc_called(this, "_ZN7S9645C33v82Ev");}
+void  S9645C3 ::v83(){vfunc_called(this, "_ZN7S9645C33v83Ev");}
+void  S9645C3 ::v84(){vfunc_called(this, "_ZN7S9645C33v84Ev");}
+void  S9645C3 ::v85(){vfunc_called(this, "_ZN7S9645C33v85Ev");}
+void  S9645C3 ::v86(){vfunc_called(this, "_ZN7S9645C33v86Ev");}
+void  S9645C3 ::v87(){vfunc_called(this, "_ZN7S9645C33v87Ev");}
+void  S9645C3 ::v88(){vfunc_called(this, "_ZN7S9645C33v88Ev");}
+void  S9645C3 ::v89(){vfunc_called(this, "_ZN7S9645C33v89Ev");}
+void  S9645C3 ::v90(){vfunc_called(this, "_ZN7S9645C33v90Ev");}
+void  S9645C3 ::v91(){vfunc_called(this, "_ZN7S9645C33v91Ev");}
+void  S9645C3 ::v92(){vfunc_called(this, "_ZN7S9645C33v92Ev");}
+void  S9645C3 ::v93(){vfunc_called(this, "_ZN7S9645C33v93Ev");}
+void  S9645C3 ::v94(){vfunc_called(this, "_ZN7S9645C33v94Ev");}
+void  S9645C3 ::v95(){vfunc_called(this, "_ZN7S9645C33v95Ev");}
+void  S9645C3 ::v96(){vfunc_called(this, "_ZN7S9645C33v96Ev");}
+void  S9645C3 ::v97(){vfunc_called(this, "_ZN7S9645C33v97Ev");}
+void  S9645C3 ::v98(){vfunc_called(this, "_ZN7S9645C33v98Ev");}
+void  S9645C3 ::v99(){vfunc_called(this, "_ZN7S9645C33v99Ev");}
+void  S9645C3 ::v100(){vfunc_called(this, "_ZN7S9645C34v100Ev");}
+void  S9645C3 ::v101(){vfunc_called(this, "_ZN7S9645C34v101Ev");}
+void  S9645C3 ::v102(){vfunc_called(this, "_ZN7S9645C34v102Ev");}
+void  S9645C3 ::v103(){vfunc_called(this, "_ZN7S9645C34v103Ev");}
+void  S9645C3 ::v104(){vfunc_called(this, "_ZN7S9645C34v104Ev");}
+void  S9645C3 ::v105(){vfunc_called(this, "_ZN7S9645C34v105Ev");}
+void  S9645C3 ::v106(){vfunc_called(this, "_ZN7S9645C34v106Ev");}
+void  S9645C3 ::v107(){vfunc_called(this, "_ZN7S9645C34v107Ev");}
+void  S9645C3 ::v108(){vfunc_called(this, "_ZN7S9645C34v108Ev");}
+void  S9645C3 ::v109(){vfunc_called(this, "_ZN7S9645C34v109Ev");}
+void  S9645C3 ::v110(){vfunc_called(this, "_ZN7S9645C34v110Ev");}
+void  S9645C3 ::v111(){vfunc_called(this, "_ZN7S9645C34v111Ev");}
+void  S9645C3 ::v112(){vfunc_called(this, "_ZN7S9645C34v112Ev");}
+void  S9645C3 ::v113(){vfunc_called(this, "_ZN7S9645C34v113Ev");}
+void  S9645C3 ::v114(){vfunc_called(this, "_ZN7S9645C34v114Ev");}
+void  S9645C3 ::v115(){vfunc_called(this, "_ZN7S9645C34v115Ev");}
+void  S9645C3 ::v116(){vfunc_called(this, "_ZN7S9645C34v116Ev");}
+void  S9645C3 ::v117(){vfunc_called(this, "_ZN7S9645C34v117Ev");}
+void  S9645C3 ::v118(){vfunc_called(this, "_ZN7S9645C34v118Ev");}
+void  S9645C3 ::v119(){vfunc_called(this, "_ZN7S9645C34v119Ev");}
+void  S9645C3 ::v120(){vfunc_called(this, "_ZN7S9645C34v120Ev");}
+void  S9645C3 ::v121(){vfunc_called(this, "_ZN7S9645C34v121Ev");}
+void  S9645C3 ::v122(){vfunc_called(this, "_ZN7S9645C34v122Ev");}
+void  S9645C3 ::v123(){vfunc_called(this, "_ZN7S9645C34v123Ev");}
+void  S9645C3 ::v124(){vfunc_called(this, "_ZN7S9645C34v124Ev");}
+void  S9645C3 ::v125(){vfunc_called(this, "_ZN7S9645C34v125Ev");}
+void  S9645C3 ::v126(){vfunc_called(this, "_ZN7S9645C34v126Ev");}
+void  S9645C3 ::v127(){vfunc_called(this, "_ZN7S9645C34v127Ev");}
+void  S9645C3 ::v128(){vfunc_called(this, "_ZN7S9645C34v128Ev");}
+void  S9645C3 ::v129(){vfunc_called(this, "_ZN7S9645C34v129Ev");}
+void  S9645C3 ::v130(){vfunc_called(this, "_ZN7S9645C34v130Ev");}
+void  S9645C3 ::v131(){vfunc_called(this, "_ZN7S9645C34v131Ev");}
+void  S9645C3 ::v132(){vfunc_called(this, "_ZN7S9645C34v132Ev");}
+void  S9645C3 ::v133(){vfunc_called(this, "_ZN7S9645C34v133Ev");}
+void  S9645C3 ::v134(){vfunc_called(this, "_ZN7S9645C34v134Ev");}
+void  S9645C3 ::v135(){vfunc_called(this, "_ZN7S9645C34v135Ev");}
+void  S9645C3 ::v136(){vfunc_called(this, "_ZN7S9645C34v136Ev");}
+void  S9645C3 ::v137(){vfunc_called(this, "_ZN7S9645C34v137Ev");}
+void  S9645C3 ::v138(){vfunc_called(this, "_ZN7S9645C34v138Ev");}
+void  S9645C3 ::v139(){vfunc_called(this, "_ZN7S9645C34v139Ev");}
+void  S9645C3 ::v140(){vfunc_called(this, "_ZN7S9645C34v140Ev");}
+void  S9645C3 ::v141(){vfunc_called(this, "_ZN7S9645C34v141Ev");}
+void  S9645C3 ::v142(){vfunc_called(this, "_ZN7S9645C34v142Ev");}
+void  S9645C3 ::v143(){vfunc_called(this, "_ZN7S9645C34v143Ev");}
+void  S9645C3 ::v144(){vfunc_called(this, "_ZN7S9645C34v144Ev");}
+void  S9645C3 ::v145(){vfunc_called(this, "_ZN7S9645C34v145Ev");}
+void  S9645C3 ::v146(){vfunc_called(this, "_ZN7S9645C34v146Ev");}
+void  S9645C3 ::v147(){vfunc_called(this, "_ZN7S9645C34v147Ev");}
+void  S9645C3 ::v148(){vfunc_called(this, "_ZN7S9645C34v148Ev");}
+void  S9645C3 ::v149(){vfunc_called(this, "_ZN7S9645C34v149Ev");}
+void  S9645C3 ::v150(){vfunc_called(this, "_ZN7S9645C34v150Ev");}
+void  S9645C3 ::v151(){vfunc_called(this, "_ZN7S9645C34v151Ev");}
+void  S9645C3 ::v152(){vfunc_called(this, "_ZN7S9645C34v152Ev");}
+void  S9645C3 ::v153(){vfunc_called(this, "_ZN7S9645C34v153Ev");}
+void  S9645C3 ::v154(){vfunc_called(this, "_ZN7S9645C34v154Ev");}
+S9645C3 ::S9645C3(){ note_ctor("S9645C3", this);} // tgen
+
+static void Test_S9645C3()
+{
+  extern Class_Descriptor cd_S9645C3;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(105,159)];
+    init_test(&cd_S9645C3, buf);
+    S9645C3 *dp, &lv = *(dp=new (buf) S9645C3());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(832,632), "sizeof(S9645C3)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S9645C3)");
+    check_base_class_offset(lv, (S9645C4*), 0, "S9645C3");
+    check_field_offset(lv, s9645c3f0, ABISELECT(64,40), "S9645C3.s9645c3f0");
+    check_field_offset(lv, s9645c3f1, ABISELECT(80,56), "S9645C3.s9645c3f1");
+    check_field_offset(lv, s9645c3f2, ABISELECT(96,72), "S9645C3.s9645c3f2");
+    check_field_offset(lv, s9645c3f3, ABISELECT(112,88), "S9645C3.s9645c3f3");
+    check_field_offset(lv, s9645c3f4, ABISELECT(128,104), "S9645C3.s9645c3f4");
+    check_field_offset(lv, s9645c3f5, ABISELECT(144,120), "S9645C3.s9645c3f5");
+    check_field_offset(lv, s9645c3f6, ABISELECT(208,184), "S9645C3.s9645c3f6");
+    check_field_offset(lv, s9645c3f7, ABISELECT(272,248), "S9645C3.s9645c3f7");
+    check_field_offset(lv, s9645c3f8, ABISELECT(288,264), "S9645C3.s9645c3f8");
+    check_field_offset(lv, s9645c3f9, ABISELECT(304,280), "S9645C3.s9645c3f9");
+    check_field_offset(lv, s9645c3f10, ABISELECT(320,296), "S9645C3.s9645c3f10");
+    check_field_offset(lv, s9645c3f11, ABISELECT(328,300), "S9645C3.s9645c3f11");
+    check_field_offset(lv, s9645c3f12, ABISELECT(344,316), "S9645C3.s9645c3f12");
+    check_field_offset(lv, s9645c3f13, ABISELECT(368,332), "S9645C3.s9645c3f13");
+    check_field_offset(lv, s9645c3f14, ABISELECT(376,336), "S9645C3.s9645c3f14");
+    check_field_offset(lv, s9645c3f15, ABISELECT(432,364), "S9645C3.s9645c3f15");
+    set_bf_and_test(lv, s9645c3f16, ABISELECT(448,376), 0, 8, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f16, ABISELECT(448,376), 0, 8, hide_sll(1LL<<7), "S9645C3");
+    set_bf_and_test(lv, s9645c3f17, ABISELECT(449,377), 0, 8, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f17, ABISELECT(449,377), 0, 8, hide_sll(1LL<<7), "S9645C3");
+    set_bf_and_test(lv, s9645c3f18, ABISELECT(450,378), 0, 16, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f18, ABISELECT(450,378), 0, 16, hide_sll(1LL<<15), "S9645C3");
+    set_bf_and_test(lv, s9645c3f19, ABISELECT(452,380), 0, 16, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f19, ABISELECT(452,380), 0, 16, hide_sll(1LL<<15), "S9645C3");
+    set_bf_and_test(lv, s9645c3f20, ABISELECT(454,382), 0, 8, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f20, ABISELECT(454,382), 0, 8, hide_sll(1LL<<7), "S9645C3");
+    set_bf_and_test(lv, s9645c3f21, ABISELECT(455,383), 0, 8, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f21, ABISELECT(455,383), 0, 8, hide_sll(1LL<<7), "S9645C3");
+    set_bf_and_test(lv, s9645c3f22, ABISELECT(456,384), 0, 8, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f22, ABISELECT(456,384), 0, 8, hide_sll(1LL<<7), "S9645C3");
+    set_bf_and_test(lv, s9645c3f23, ABISELECT(460,388), 0, 32, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f23, ABISELECT(460,388), 0, 32, hide_sll(1LL<<31), "S9645C3");
+    set_bf_and_test(lv, s9645c3f24, ABISELECT(464,392), 0, 8, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f24, ABISELECT(464,392), 0, 8, hide_sll(1LL<<7), "S9645C3");
+    set_bf_and_test(lv, s9645c3f25, ABISELECT(465,393), 0, 4, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f25, ABISELECT(465,393), 0, 4, hide_sll(1LL<<3), "S9645C3");
+    set_bf_and_test(lv, s9645c3f26, ABISELECT(465,393), 4, 4, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f26, ABISELECT(465,393), 4, 4, hide_sll(1LL<<3), "S9645C3");
+    set_bf_and_test(lv, s9645c3f27, ABISELECT(466,394), 0, 4, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f27, ABISELECT(466,394), 0, 4, hide_sll(1LL<<3), "S9645C3");
+    set_bf_and_test(lv, s9645c3f28, ABISELECT(466,394), 4, 4, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f28, ABISELECT(466,394), 4, 4, hide_sll(1LL<<3), "S9645C3");
+    set_bf_and_test(lv, s9645c3f29, ABISELECT(467,395), 0, 4, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f29, ABISELECT(467,395), 0, 4, hide_sll(1LL<<3), "S9645C3");
+    set_bf_and_test(lv, s9645c3f30, ABISELECT(467,395), 4, 4, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f30, ABISELECT(467,395), 4, 4, hide_sll(1LL<<3), "S9645C3");
+    set_bf_and_test(lv, s9645c3f31, ABISELECT(468,396), 0, 4, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f31, ABISELECT(468,396), 0, 4, hide_sll(1LL<<3), "S9645C3");
+    set_bf_and_test(lv, s9645c3f32, ABISELECT(468,396), 4, 4, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f32, ABISELECT(468,396), 4, 4, hide_sll(1LL<<3), "S9645C3");
+    set_bf_and_test(lv, s9645c3f33, ABISELECT(469,397), 0, 4, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f33, ABISELECT(469,397), 0, 4, hide_sll(1LL<<3), "S9645C3");
+    set_bf_and_test(lv, s9645c3f34, ABISELECT(469,397), 4, 4, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f34, ABISELECT(469,397), 4, 4, hide_sll(1LL<<3), "S9645C3");
+    set_bf_and_test(lv, s9645c3f35, ABISELECT(470,398), 0, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f36, ABISELECT(470,398), 1, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f37, ABISELECT(470,398), 2, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f38, ABISELECT(470,398), 3, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f39, ABISELECT(470,398), 4, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f40, ABISELECT(470,398), 5, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f41, ABISELECT(470,398), 6, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f42, ABISELECT(470,398), 7, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f43, ABISELECT(471,399), 0, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f44, ABISELECT(471,399), 1, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f45, ABISELECT(471,399), 2, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f46, ABISELECT(471,399), 3, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f47, ABISELECT(471,399), 4, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f48, ABISELECT(471,399), 5, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f49, ABISELECT(471,399), 6, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f50, ABISELECT(471,399), 7, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f51, ABISELECT(472,400), 0, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f52, ABISELECT(472,400), 1, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f53, ABISELECT(472,400), 2, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f54, ABISELECT(472,400), 3, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f55, ABISELECT(472,400), 4, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f56, ABISELECT(472,400), 5, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f57, ABISELECT(472,400), 6, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f58, ABISELECT(472,400), 7, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f59, ABISELECT(473,401), 0, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f60, ABISELECT(473,401), 1, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f61, ABISELECT(473,401), 2, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f62, ABISELECT(473,401), 3, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f63, ABISELECT(473,401), 4, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f64, ABISELECT(473,401), 5, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f65, ABISELECT(473,401), 6, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f66, ABISELECT(473,401), 7, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f67, ABISELECT(474,402), 0, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f68, ABISELECT(474,402), 1, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f69, ABISELECT(474,402), 2, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f70, ABISELECT(474,402), 3, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f71, ABISELECT(474,402), 4, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f72, ABISELECT(474,402), 5, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f73, ABISELECT(474,402), 6, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f74, ABISELECT(474,402), 7, 1, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f75, ABISELECT(475,403), 0, 1, 1, "S9645C3");
+    check_field_offset(lv, s9645c3f76, ABISELECT(476,404), "S9645C3.s9645c3f76");
+    check_field_offset(lv, s9645c3f77, ABISELECT(504,432), "S9645C3.s9645c3f77");
+    set_bf_and_test(lv, s9645c3f78, ABISELECT(552,456), 0, 8, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f78, ABISELECT(552,456), 0, 8, hide_sll(1LL<<7), "S9645C3");
+    set_bf_and_test(lv, s9645c3f79, ABISELECT(553,457), 0, 8, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f79, ABISELECT(553,457), 0, 8, hide_sll(1LL<<7), "S9645C3");
+    set_bf_and_test(lv, s9645c3f80, ABISELECT(554,458), 0, 8, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f80, ABISELECT(554,458), 0, 8, hide_sll(1LL<<7), "S9645C3");
+    set_bf_and_test(lv, s9645c3f81, ABISELECT(555,459), 0, 8, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f81, ABISELECT(555,459), 0, 8, hide_sll(1LL<<7), "S9645C3");
+    set_bf_and_test(lv, s9645c3f82, ABISELECT(556,460), 0, 8, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f82, ABISELECT(556,460), 0, 8, hide_sll(1LL<<7), "S9645C3");
+    set_bf_and_test(lv, s9645c3f83, ABISELECT(557,461), 0, 8, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f83, ABISELECT(557,461), 0, 8, hide_sll(1LL<<7), "S9645C3");
+    check_field_offset(lv, s9645c3f84, ABISELECT(560,464), "S9645C3.s9645c3f84");
+    check_field_offset(lv, s9645c3f85, ABISELECT(576,476), "S9645C3.s9645c3f85");
+    set_bf_and_test(lv, s9645c3f86, ABISELECT(608,492), 0, 8, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f86, ABISELECT(608,492), 0, 8, hide_sll(1LL<<7), "S9645C3");
+    set_bf_and_test(lv, s9645c3f87, ABISELECT(609,493), 0, 8, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f87, ABISELECT(609,493), 0, 8, hide_sll(1LL<<7), "S9645C3");
+    set_bf_and_test(lv, s9645c3f88, ABISELECT(610,494), 0, 8, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f88, ABISELECT(610,494), 0, 8, hide_sll(1LL<<7), "S9645C3");
+    set_bf_and_test(lv, s9645c3f89, ABISELECT(611,495), 0, 8, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f89, ABISELECT(611,495), 0, 8, hide_sll(1LL<<7), "S9645C3");
+    set_bf_and_test(lv, s9645c3f90, ABISELECT(612,496), 0, 8, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f90, ABISELECT(612,496), 0, 8, hide_sll(1LL<<7), "S9645C3");
+    set_bf_and_test(lv, s9645c3f91, ABISELECT(613,497), 0, 16, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f91, ABISELECT(613,497), 0, 16, hide_sll(1LL<<15), "S9645C3");
+    set_bf_and_test(lv, s9645c3f92, ABISELECT(616,500), 0, 16, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f92, ABISELECT(616,500), 0, 16, hide_sll(1LL<<15), "S9645C3");
+    set_bf_and_test(lv, s9645c3f93, ABISELECT(618,502), 0, 16, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f93, ABISELECT(618,502), 0, 16, hide_sll(1LL<<15), "S9645C3");
+    set_bf_and_test(lv, s9645c3f94, ABISELECT(620,504), 0, 4, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f94, ABISELECT(620,504), 0, 4, hide_sll(1LL<<3), "S9645C3");
+    set_bf_and_test(lv, s9645c3f95, ABISELECT(620,504), 4, 4, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f95, ABISELECT(620,504), 4, 4, hide_sll(1LL<<3), "S9645C3");
+    set_bf_and_test(lv, s9645c3f96, ABISELECT(621,505), 0, 4, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f96, ABISELECT(621,505), 0, 4, hide_sll(1LL<<3), "S9645C3");
+    set_bf_and_test(lv, s9645c3f97, ABISELECT(621,505), 4, 4, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f97, ABISELECT(621,505), 4, 4, hide_sll(1LL<<3), "S9645C3");
+    set_bf_and_test(lv, s9645c3f98, ABISELECT(622,506), 0, 4, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f98, ABISELECT(622,506), 0, 4, hide_sll(1LL<<3), "S9645C3");
+    set_bf_and_test(lv, s9645c3f99, ABISELECT(622,506), 4, 4, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f99, ABISELECT(622,506), 4, 4, hide_sll(1LL<<3), "S9645C3");
+    set_bf_and_test(lv, s9645c3f100, ABISELECT(623,507), 0, 4, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f100, ABISELECT(623,507), 0, 4, hide_sll(1LL<<3), "S9645C3");
+    set_bf_and_test(lv, s9645c3f101, ABISELECT(623,507), 4, 4, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f101, ABISELECT(623,507), 4, 4, hide_sll(1LL<<3), "S9645C3");
+    set_bf_and_test(lv, s9645c3f102, ABISELECT(624,508), 0, 4, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f102, ABISELECT(624,508), 0, 4, hide_sll(1LL<<3), "S9645C3");
+    set_bf_and_test(lv, s9645c3f103, ABISELECT(624,508), 4, 4, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f103, ABISELECT(624,508), 4, 4, hide_sll(1LL<<3), "S9645C3");
+    set_bf_and_test(lv, s9645c3f104, ABISELECT(625,509), 0, 4, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f104, ABISELECT(625,509), 0, 4, hide_sll(1LL<<3), "S9645C3");
+    set_bf_and_test(lv, s9645c3f105, ABISELECT(625,509), 4, 4, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f105, ABISELECT(625,509), 4, 4, hide_sll(1LL<<3), "S9645C3");
+    set_bf_and_test(lv, s9645c3f106, ABISELECT(626,510), 0, 8, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f106, ABISELECT(626,510), 0, 8, hide_sll(1LL<<7), "S9645C3");
+    set_bf_and_test(lv, s9645c3f107, ABISELECT(627,511), 0, 8, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f107, ABISELECT(627,511), 0, 8, hide_sll(1LL<<7), "S9645C3");
+    set_bf_and_test(lv, s9645c3f108, ABISELECT(628,512), 0, 8, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f108, ABISELECT(628,512), 0, 8, hide_sll(1LL<<7), "S9645C3");
+    check_field_offset(lv, s9645c3f109, ABISELECT(632,516), "S9645C3.s9645c3f109");
+    check_field_offset(lv, s9645c3f110, ABISELECT(688,544), "S9645C3.s9645c3f110");
+    check_field_offset(lv, s9645c3f111, ABISELECT(712,560), "S9645C3.s9645c3f111");
+    set_bf_and_test(lv, s9645c3f112, ABISELECT(728,568), 0, 16, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f112, ABISELECT(728,568), 0, 16, hide_sll(1LL<<15), "S9645C3");
+    set_bf_and_test(lv, s9645c3f113, ABISELECT(730,570), 0, 16, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f113, ABISELECT(730,570), 0, 16, hide_sll(1LL<<15), "S9645C3");
+    check_field_offset(lv, s9645c3f114, ABISELECT(736,572), "S9645C3.s9645c3f114");
+    check_field_offset(lv, s9645c3f115, ABISELECT(752,584), "S9645C3.s9645c3f115");
+    check_field_offset(lv, s9645c3f116, ABISELECT(776,600), "S9645C3.s9645c3f116");
+    check_field_offset(lv, s9645c3f117, ABISELECT(800,616), "S9645C3.s9645c3f117");
+    set_bf_and_test(lv, s9645c3f118, ABISELECT(816,624), 0, 24, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f118, ABISELECT(816,624), 0, 24, hide_sll(1LL<<23), "S9645C3");
+    set_bf_and_test(lv, s9645c3f119, ABISELECT(819,627), 0, 8, 1, "S9645C3");
+    set_bf_and_test(lv, s9645c3f119, ABISELECT(819,627), 0, 8, hide_sll(1LL<<7), "S9645C3");
+    check_field_offset(lv, s9645c3f120, ABISELECT(824,628), "S9645C3.s9645c3f120");
+    test_class_info(&lv, &cd_S9645C3);
+    dp->~S9645C3();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS9645C3(Test_S9645C3, "S9645C3", ABISELECT(832,632));
+
+#else // __cplusplus
+
+extern void _ZN7S9645C3C1Ev();
+extern void _ZN7S9645C3D1Ev();
+Name_Map name_map_S9645C3[] = {
+  NSPAIR(_ZN7S9645C3C1Ev),
+  NSPAIR(_ZN7S9645C3D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S9645C4;
+extern VTBL_ENTRY _ZTI7S9645C4[];
+extern  VTBL_ENTRY _ZTV7S9645C4[];
+static Base_Class bases_S9645C3[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S9645C4,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI7S9645C3[];
+extern void _ZN7S9645C3D1Ev();
+extern void _ZN7S9645C3D0Ev();
+extern void _ZN7S9645C43v54Ev();
+extern void _ZN7S9645C43v55Ev();
+extern void _ZN7S9645C43v56Ev();
+extern void _ZN7S9645C43v57Ev();
+extern void _ZN7S9645C43v58Ev();
+extern void _ZN7S9645C43v59Ev();
+extern void _ZN7S9645C43v60Ev();
+extern void _ZN7S9645C43v61Ev();
+extern void _ZN7S9645C43v62Ev();
+extern void _ZN7S9645C43v63Ev();
+extern void _ZN7S9645C43v64Ev();
+extern void _ZN7S9645C43v65Ev();
+extern void _ZN7S9645C43v66Ev();
+extern void _ZN7S9645C43v67Ev();
+extern void _ZN7S9645C43v68Ev();
+extern void _ZN7S9645C43v69Ev();
+extern void _ZN7S9645C43v70Ev();
+extern void _ZN7S9645C43v71Ev();
+extern void _ZN7S9645C43v72Ev();
+extern void _ZN7S9645C43v73Ev();
+extern void _ZN7S9645C43v74Ev();
+extern void _ZN7S9645C43v75Ev();
+extern void _ZN7S9645C43v76Ev();
+extern void _ZN7S9645C33v77Ev();
+extern void _ZN7S9645C33v78Ev();
+extern void _ZN7S9645C33v79Ev();
+extern void _ZN7S9645C33v80Ev();
+extern void _ZN7S9645C33v81Ev();
+extern void _ZN7S9645C33v82Ev();
+extern void _ZN7S9645C33v83Ev();
+extern void _ZN7S9645C33v84Ev();
+extern void _ZN7S9645C33v85Ev();
+extern void _ZN7S9645C33v86Ev();
+extern void _ZN7S9645C33v87Ev();
+extern void _ZN7S9645C33v88Ev();
+extern void _ZN7S9645C33v89Ev();
+extern void _ZN7S9645C33v90Ev();
+extern void _ZN7S9645C33v91Ev();
+extern void _ZN7S9645C33v92Ev();
+extern void _ZN7S9645C33v93Ev();
+extern void _ZN7S9645C33v94Ev();
+extern void _ZN7S9645C33v95Ev();
+extern void _ZN7S9645C33v96Ev();
+extern void _ZN7S9645C33v97Ev();
+extern void _ZN7S9645C33v98Ev();
+extern void _ZN7S9645C33v99Ev();
+extern void _ZN7S9645C34v100Ev();
+extern void _ZN7S9645C34v101Ev();
+extern void _ZN7S9645C34v102Ev();
+extern void _ZN7S9645C34v103Ev();
+extern void _ZN7S9645C34v104Ev();
+extern void _ZN7S9645C34v105Ev();
+extern void _ZN7S9645C34v106Ev();
+extern void _ZN7S9645C34v107Ev();
+extern void _ZN7S9645C34v108Ev();
+extern void _ZN7S9645C34v109Ev();
+extern void _ZN7S9645C34v110Ev();
+extern void _ZN7S9645C34v111Ev();
+extern void _ZN7S9645C34v112Ev();
+extern void _ZN7S9645C34v113Ev();
+extern void _ZN7S9645C34v114Ev();
+extern void _ZN7S9645C34v115Ev();
+extern void _ZN7S9645C34v116Ev();
+extern void _ZN7S9645C34v117Ev();
+extern void _ZN7S9645C34v118Ev();
+extern void _ZN7S9645C34v119Ev();
+extern void _ZN7S9645C34v120Ev();
+extern void _ZN7S9645C34v121Ev();
+extern void _ZN7S9645C34v122Ev();
+extern void _ZN7S9645C34v123Ev();
+extern void _ZN7S9645C34v124Ev();
+extern void _ZN7S9645C34v125Ev();
+extern void _ZN7S9645C34v126Ev();
+extern void _ZN7S9645C34v127Ev();
+extern void _ZN7S9645C34v128Ev();
+extern void _ZN7S9645C34v129Ev();
+extern void _ZN7S9645C34v130Ev();
+extern void _ZN7S9645C34v131Ev();
+extern void _ZN7S9645C34v132Ev();
+extern void _ZN7S9645C34v133Ev();
+extern void _ZN7S9645C34v134Ev();
+extern void _ZN7S9645C34v135Ev();
+extern void _ZN7S9645C34v136Ev();
+extern void _ZN7S9645C34v137Ev();
+extern void _ZN7S9645C34v138Ev();
+extern void _ZN7S9645C34v139Ev();
+extern void _ZN7S9645C34v140Ev();
+extern void _ZN7S9645C34v141Ev();
+extern void _ZN7S9645C34v142Ev();
+extern void _ZN7S9645C34v143Ev();
+extern void _ZN7S9645C34v144Ev();
+extern void _ZN7S9645C34v145Ev();
+extern void _ZN7S9645C34v146Ev();
+extern void _ZN7S9645C34v147Ev();
+extern void _ZN7S9645C34v148Ev();
+extern void _ZN7S9645C34v149Ev();
+extern void _ZN7S9645C34v150Ev();
+extern void _ZN7S9645C34v151Ev();
+extern void _ZN7S9645C34v152Ev();
+extern void _ZN7S9645C34v153Ev();
+extern void _ZN7S9645C34v154Ev();
+static  VTBL_ENTRY vtc_S9645C3[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI7S9645C3[0]),
+  (VTBL_ENTRY)&_ZN7S9645C3D1Ev,
+  (VTBL_ENTRY)&_ZN7S9645C3D0Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v54Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v55Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v56Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v57Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v58Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v59Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v60Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v61Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v62Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v63Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v64Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v65Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v66Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v67Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v68Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v69Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v70Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v71Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v72Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v73Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v74Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v75Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v76Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v77Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v78Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v79Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v80Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v81Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v82Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v83Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v84Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v85Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v86Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v87Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v88Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v89Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v90Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v91Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v92Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v93Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v94Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v95Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v96Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v97Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v98Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v99Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v100Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v101Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v102Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v103Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v104Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v105Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v106Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v107Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v108Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v109Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v110Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v111Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v112Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v113Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v114Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v115Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v116Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v117Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v118Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v119Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v120Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v121Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v122Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v123Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v124Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v125Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v126Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v127Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v128Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v129Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v130Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v131Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v132Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v133Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v134Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v135Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v136Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v137Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v138Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v139Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v140Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v141Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v142Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v143Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v144Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v145Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v146Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v147Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v148Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v149Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v150Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v151Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v152Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v153Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v154Ev,
+};
+extern VTBL_ENTRY _ZTI7S9645C3[];
+extern  VTBL_ENTRY _ZTV7S9645C3[];
+Class_Descriptor cd_S9645C3 = {  "S9645C3", // class name
+  bases_S9645C3, 1,
+  &(vtc_S9645C3[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(832,632), // object size
+  NSPAIRA(_ZTI7S9645C3),ABISELECT(24,12), //typeinfo_var
+  NSPAIRA(_ZTV7S9645C3),105, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  1, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S9645C40  {
+  virtual  ~S9645C40(); // _ZN8S9645C40D1Ev
+  virtual void  v155(); // _ZN8S9645C404v155Ev
+  virtual void  v156(); // _ZN8S9645C404v156Ev
+  virtual void  v157(); // _ZN8S9645C404v157Ev
+  virtual void  v158(); // _ZN8S9645C404v158Ev
+  virtual void  v159(); // _ZN8S9645C404v159Ev
+  virtual void  v160(); // _ZN8S9645C404v160Ev
+  S9645C40(); // tgen
+};
+//SIG(-1 S9645C40) C1{ vd v1 v2 v3 v4 v5 v6}
+
+
+ S9645C40 ::~S9645C40(){ note_dtor("S9645C40", this);}
+void  S9645C40 ::v155(){vfunc_called(this, "_ZN8S9645C404v155Ev");}
+void  S9645C40 ::v156(){vfunc_called(this, "_ZN8S9645C404v156Ev");}
+void  S9645C40 ::v157(){vfunc_called(this, "_ZN8S9645C404v157Ev");}
+void  S9645C40 ::v158(){vfunc_called(this, "_ZN8S9645C404v158Ev");}
+void  S9645C40 ::v159(){vfunc_called(this, "_ZN8S9645C404v159Ev");}
+void  S9645C40 ::v160(){vfunc_called(this, "_ZN8S9645C404v160Ev");}
+S9645C40 ::S9645C40(){ note_ctor("S9645C40", this);} // tgen
+
+static void Test_S9645C40()
+{
+  extern Class_Descriptor cd_S9645C40;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[2];
+    init_test(&cd_S9645C40, buf);
+    S9645C40 *dp, &lv = *(dp=new (buf) S9645C40());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(8,4), "sizeof(S9645C40)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S9645C40)");
+    test_class_info(&lv, &cd_S9645C40);
+    dp->~S9645C40();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS9645C40(Test_S9645C40, "S9645C40", ABISELECT(8,4));
+
+#else // __cplusplus
+
+extern void _ZN8S9645C40C1Ev();
+extern void _ZN8S9645C40D1Ev();
+Name_Map name_map_S9645C40[] = {
+  NSPAIR(_ZN8S9645C40C1Ev),
+  NSPAIR(_ZN8S9645C40D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI8S9645C40[];
+extern void _ZN8S9645C40D1Ev();
+extern void _ZN8S9645C40D0Ev();
+extern void _ZN8S9645C404v155Ev();
+extern void _ZN8S9645C404v156Ev();
+extern void _ZN8S9645C404v157Ev();
+extern void _ZN8S9645C404v158Ev();
+extern void _ZN8S9645C404v159Ev();
+extern void _ZN8S9645C404v160Ev();
+static  VTBL_ENTRY vtc_S9645C40[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI8S9645C40[0]),
+  (VTBL_ENTRY)&_ZN8S9645C40D1Ev,
+  (VTBL_ENTRY)&_ZN8S9645C40D0Ev,
+  (VTBL_ENTRY)&_ZN8S9645C404v155Ev,
+  (VTBL_ENTRY)&_ZN8S9645C404v156Ev,
+  (VTBL_ENTRY)&_ZN8S9645C404v157Ev,
+  (VTBL_ENTRY)&_ZN8S9645C404v158Ev,
+  (VTBL_ENTRY)&_ZN8S9645C404v159Ev,
+  (VTBL_ENTRY)&_ZN8S9645C404v160Ev,
+};
+extern VTBL_ENTRY _ZTI8S9645C40[];
+extern  VTBL_ENTRY _ZTV8S9645C40[];
+Class_Descriptor cd_S9645C40 = {  "S9645C40", // class name
+  0,0,//no base classes
+  &(vtc_S9645C40[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(8,4), // object size
+  NSPAIRA(_ZTI8S9645C40),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV8S9645C40),10, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  0, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S9645C41  {
+  virtual  ~S9645C41(); // _ZN8S9645C41D1Ev
+  virtual void  v161(); // _ZN8S9645C414v161Ev
+  virtual void  v162(); // _ZN8S9645C414v162Ev
+  virtual void  v163(); // _ZN8S9645C414v163Ev
+  virtual void  v164(); // _ZN8S9645C414v164Ev
+  virtual void  v165(); // _ZN8S9645C414v165Ev
+  virtual void  v166(); // _ZN8S9645C414v166Ev
+  virtual void  v167(); // _ZN8S9645C414v167Ev
+  virtual void  v168(); // _ZN8S9645C414v168Ev
+  virtual void  v169(); // _ZN8S9645C414v169Ev
+  virtual void  v170(); // _ZN8S9645C414v170Ev
+  virtual void  v171(); // _ZN8S9645C414v171Ev
+  virtual void  v172(); // _ZN8S9645C414v172Ev
+  virtual void  v173(); // _ZN8S9645C414v173Ev
+  virtual void  v174(); // _ZN8S9645C414v174Ev
+  virtual void  v175(); // _ZN8S9645C414v175Ev
+  virtual void  v176(); // _ZN8S9645C414v176Ev
+  virtual void  v177(); // _ZN8S9645C414v177Ev
+  virtual void  v178(); // _ZN8S9645C414v178Ev
+  virtual void  v179(); // _ZN8S9645C414v179Ev
+  virtual void  v180(); // _ZN8S9645C414v180Ev
+  virtual void  v181(); // _ZN8S9645C414v181Ev
+  virtual void  v182(); // _ZN8S9645C414v182Ev
+  virtual void  v183(); // _ZN8S9645C414v183Ev
+  virtual void  v184(); // _ZN8S9645C414v184Ev
+  virtual void  v185(); // _ZN8S9645C414v185Ev
+  virtual void  v186(); // _ZN8S9645C414v186Ev
+  virtual void  v187(); // _ZN8S9645C414v187Ev
+  virtual void  v188(); // _ZN8S9645C414v188Ev
+  virtual void  v189(); // _ZN8S9645C414v189Ev
+  virtual void  v190(); // _ZN8S9645C414v190Ev
+  virtual void  v191(); // _ZN8S9645C414v191Ev
+  virtual void  v192(); // _ZN8S9645C414v192Ev
+  virtual void  v193(); // _ZN8S9645C414v193Ev
+  virtual void  v194(); // _ZN8S9645C414v194Ev
+  virtual void  v195(); // _ZN8S9645C414v195Ev
+  virtual void  v196(); // _ZN8S9645C414v196Ev
+  virtual void  v197(); // _ZN8S9645C414v197Ev
+  virtual void  v198(); // _ZN8S9645C414v198Ev
+  virtual void  v199(); // _ZN8S9645C414v199Ev
+  virtual void  v200(); // _ZN8S9645C414v200Ev
+  virtual void  v201(); // _ZN8S9645C414v201Ev
+  virtual void  v202(); // _ZN8S9645C414v202Ev
+  S9645C41(); // tgen
+};
+//SIG(-1 S9645C41) C1{ vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 v33 v34 v35 v36 v37 v38 v39 v40 v41 v42}
+
+
+ S9645C41 ::~S9645C41(){ note_dtor("S9645C41", this);}
+void  S9645C41 ::v161(){vfunc_called(this, "_ZN8S9645C414v161Ev");}
+void  S9645C41 ::v162(){vfunc_called(this, "_ZN8S9645C414v162Ev");}
+void  S9645C41 ::v163(){vfunc_called(this, "_ZN8S9645C414v163Ev");}
+void  S9645C41 ::v164(){vfunc_called(this, "_ZN8S9645C414v164Ev");}
+void  S9645C41 ::v165(){vfunc_called(this, "_ZN8S9645C414v165Ev");}
+void  S9645C41 ::v166(){vfunc_called(this, "_ZN8S9645C414v166Ev");}
+void  S9645C41 ::v167(){vfunc_called(this, "_ZN8S9645C414v167Ev");}
+void  S9645C41 ::v168(){vfunc_called(this, "_ZN8S9645C414v168Ev");}
+void  S9645C41 ::v169(){vfunc_called(this, "_ZN8S9645C414v169Ev");}
+void  S9645C41 ::v170(){vfunc_called(this, "_ZN8S9645C414v170Ev");}
+void  S9645C41 ::v171(){vfunc_called(this, "_ZN8S9645C414v171Ev");}
+void  S9645C41 ::v172(){vfunc_called(this, "_ZN8S9645C414v172Ev");}
+void  S9645C41 ::v173(){vfunc_called(this, "_ZN8S9645C414v173Ev");}
+void  S9645C41 ::v174(){vfunc_called(this, "_ZN8S9645C414v174Ev");}
+void  S9645C41 ::v175(){vfunc_called(this, "_ZN8S9645C414v175Ev");}
+void  S9645C41 ::v176(){vfunc_called(this, "_ZN8S9645C414v176Ev");}
+void  S9645C41 ::v177(){vfunc_called(this, "_ZN8S9645C414v177Ev");}
+void  S9645C41 ::v178(){vfunc_called(this, "_ZN8S9645C414v178Ev");}
+void  S9645C41 ::v179(){vfunc_called(this, "_ZN8S9645C414v179Ev");}
+void  S9645C41 ::v180(){vfunc_called(this, "_ZN8S9645C414v180Ev");}
+void  S9645C41 ::v181(){vfunc_called(this, "_ZN8S9645C414v181Ev");}
+void  S9645C41 ::v182(){vfunc_called(this, "_ZN8S9645C414v182Ev");}
+void  S9645C41 ::v183(){vfunc_called(this, "_ZN8S9645C414v183Ev");}
+void  S9645C41 ::v184(){vfunc_called(this, "_ZN8S9645C414v184Ev");}
+void  S9645C41 ::v185(){vfunc_called(this, "_ZN8S9645C414v185Ev");}
+void  S9645C41 ::v186(){vfunc_called(this, "_ZN8S9645C414v186Ev");}
+void  S9645C41 ::v187(){vfunc_called(this, "_ZN8S9645C414v187Ev");}
+void  S9645C41 ::v188(){vfunc_called(this, "_ZN8S9645C414v188Ev");}
+void  S9645C41 ::v189(){vfunc_called(this, "_ZN8S9645C414v189Ev");}
+void  S9645C41 ::v190(){vfunc_called(this, "_ZN8S9645C414v190Ev");}
+void  S9645C41 ::v191(){vfunc_called(this, "_ZN8S9645C414v191Ev");}
+void  S9645C41 ::v192(){vfunc_called(this, "_ZN8S9645C414v192Ev");}
+void  S9645C41 ::v193(){vfunc_called(this, "_ZN8S9645C414v193Ev");}
+void  S9645C41 ::v194(){vfunc_called(this, "_ZN8S9645C414v194Ev");}
+void  S9645C41 ::v195(){vfunc_called(this, "_ZN8S9645C414v195Ev");}
+void  S9645C41 ::v196(){vfunc_called(this, "_ZN8S9645C414v196Ev");}
+void  S9645C41 ::v197(){vfunc_called(this, "_ZN8S9645C414v197Ev");}
+void  S9645C41 ::v198(){vfunc_called(this, "_ZN8S9645C414v198Ev");}
+void  S9645C41 ::v199(){vfunc_called(this, "_ZN8S9645C414v199Ev");}
+void  S9645C41 ::v200(){vfunc_called(this, "_ZN8S9645C414v200Ev");}
+void  S9645C41 ::v201(){vfunc_called(this, "_ZN8S9645C414v201Ev");}
+void  S9645C41 ::v202(){vfunc_called(this, "_ZN8S9645C414v202Ev");}
+S9645C41 ::S9645C41(){ note_ctor("S9645C41", this);} // tgen
+
+static void Test_S9645C41()
+{
+  extern Class_Descriptor cd_S9645C41;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[2];
+    init_test(&cd_S9645C41, buf);
+    S9645C41 *dp, &lv = *(dp=new (buf) S9645C41());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(8,4), "sizeof(S9645C41)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S9645C41)");
+    test_class_info(&lv, &cd_S9645C41);
+    dp->~S9645C41();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS9645C41(Test_S9645C41, "S9645C41", ABISELECT(8,4));
+
+#else // __cplusplus
+
+extern void _ZN8S9645C41C1Ev();
+extern void _ZN8S9645C41D1Ev();
+Name_Map name_map_S9645C41[] = {
+  NSPAIR(_ZN8S9645C41C1Ev),
+  NSPAIR(_ZN8S9645C41D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI8S9645C41[];
+extern void _ZN8S9645C41D1Ev();
+extern void _ZN8S9645C41D0Ev();
+extern void _ZN8S9645C414v161Ev();
+extern void _ZN8S9645C414v162Ev();
+extern void _ZN8S9645C414v163Ev();
+extern void _ZN8S9645C414v164Ev();
+extern void _ZN8S9645C414v165Ev();
+extern void _ZN8S9645C414v166Ev();
+extern void _ZN8S9645C414v167Ev();
+extern void _ZN8S9645C414v168Ev();
+extern void _ZN8S9645C414v169Ev();
+extern void _ZN8S9645C414v170Ev();
+extern void _ZN8S9645C414v171Ev();
+extern void _ZN8S9645C414v172Ev();
+extern void _ZN8S9645C414v173Ev();
+extern void _ZN8S9645C414v174Ev();
+extern void _ZN8S9645C414v175Ev();
+extern void _ZN8S9645C414v176Ev();
+extern void _ZN8S9645C414v177Ev();
+extern void _ZN8S9645C414v178Ev();
+extern void _ZN8S9645C414v179Ev();
+extern void _ZN8S9645C414v180Ev();
+extern void _ZN8S9645C414v181Ev();
+extern void _ZN8S9645C414v182Ev();
+extern void _ZN8S9645C414v183Ev();
+extern void _ZN8S9645C414v184Ev();
+extern void _ZN8S9645C414v185Ev();
+extern void _ZN8S9645C414v186Ev();
+extern void _ZN8S9645C414v187Ev();
+extern void _ZN8S9645C414v188Ev();
+extern void _ZN8S9645C414v189Ev();
+extern void _ZN8S9645C414v190Ev();
+extern void _ZN8S9645C414v191Ev();
+extern void _ZN8S9645C414v192Ev();
+extern void _ZN8S9645C414v193Ev();
+extern void _ZN8S9645C414v194Ev();
+extern void _ZN8S9645C414v195Ev();
+extern void _ZN8S9645C414v196Ev();
+extern void _ZN8S9645C414v197Ev();
+extern void _ZN8S9645C414v198Ev();
+extern void _ZN8S9645C414v199Ev();
+extern void _ZN8S9645C414v200Ev();
+extern void _ZN8S9645C414v201Ev();
+extern void _ZN8S9645C414v202Ev();
+static  VTBL_ENTRY vtc_S9645C41[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI8S9645C41[0]),
+  (VTBL_ENTRY)&_ZN8S9645C41D1Ev,
+  (VTBL_ENTRY)&_ZN8S9645C41D0Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v161Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v162Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v163Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v164Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v165Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v166Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v167Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v168Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v169Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v170Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v171Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v172Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v173Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v174Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v175Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v176Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v177Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v178Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v179Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v180Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v181Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v182Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v183Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v184Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v185Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v186Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v187Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v188Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v189Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v190Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v191Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v192Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v193Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v194Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v195Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v196Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v197Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v198Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v199Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v200Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v201Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v202Ev,
+};
+extern VTBL_ENTRY _ZTI8S9645C41[];
+extern  VTBL_ENTRY _ZTV8S9645C41[];
+Class_Descriptor cd_S9645C41 = {  "S9645C41", // class name
+  0,0,//no base classes
+  &(vtc_S9645C41[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(8,4), // object size
+  NSPAIRA(_ZTI8S9645C41),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV8S9645C41),46, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  0, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S9645C42  {
+  virtual  ~S9645C42(); // _ZN8S9645C42D1Ev
+  virtual void  v203(); // _ZN8S9645C424v203Ev
+  virtual void  v204(); // _ZN8S9645C424v204Ev
+  virtual void  v205(); // _ZN8S9645C424v205Ev
+  virtual void  v206(); // _ZN8S9645C424v206Ev
+  virtual void  v207(); // _ZN8S9645C424v207Ev
+  virtual void  v208(); // _ZN8S9645C424v208Ev
+  virtual void  v209(); // _ZN8S9645C424v209Ev
+  virtual void  v210(); // _ZN8S9645C424v210Ev
+  S9645C42(); // tgen
+};
+//SIG(-1 S9645C42) C1{ vd v1 v2 v3 v4 v5 v6 v7 v8}
+
+
+ S9645C42 ::~S9645C42(){ note_dtor("S9645C42", this);}
+void  S9645C42 ::v203(){vfunc_called(this, "_ZN8S9645C424v203Ev");}
+void  S9645C42 ::v204(){vfunc_called(this, "_ZN8S9645C424v204Ev");}
+void  S9645C42 ::v205(){vfunc_called(this, "_ZN8S9645C424v205Ev");}
+void  S9645C42 ::v206(){vfunc_called(this, "_ZN8S9645C424v206Ev");}
+void  S9645C42 ::v207(){vfunc_called(this, "_ZN8S9645C424v207Ev");}
+void  S9645C42 ::v208(){vfunc_called(this, "_ZN8S9645C424v208Ev");}
+void  S9645C42 ::v209(){vfunc_called(this, "_ZN8S9645C424v209Ev");}
+void  S9645C42 ::v210(){vfunc_called(this, "_ZN8S9645C424v210Ev");}
+S9645C42 ::S9645C42(){ note_ctor("S9645C42", this);} // tgen
+
+static void Test_S9645C42()
+{
+  extern Class_Descriptor cd_S9645C42;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[2];
+    init_test(&cd_S9645C42, buf);
+    S9645C42 *dp, &lv = *(dp=new (buf) S9645C42());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(8,4), "sizeof(S9645C42)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S9645C42)");
+    test_class_info(&lv, &cd_S9645C42);
+    dp->~S9645C42();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS9645C42(Test_S9645C42, "S9645C42", ABISELECT(8,4));
+
+#else // __cplusplus
+
+extern void _ZN8S9645C42C1Ev();
+extern void _ZN8S9645C42D1Ev();
+Name_Map name_map_S9645C42[] = {
+  NSPAIR(_ZN8S9645C42C1Ev),
+  NSPAIR(_ZN8S9645C42D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI8S9645C42[];
+extern void _ZN8S9645C42D1Ev();
+extern void _ZN8S9645C42D0Ev();
+extern void _ZN8S9645C424v203Ev();
+extern void _ZN8S9645C424v204Ev();
+extern void _ZN8S9645C424v205Ev();
+extern void _ZN8S9645C424v206Ev();
+extern void _ZN8S9645C424v207Ev();
+extern void _ZN8S9645C424v208Ev();
+extern void _ZN8S9645C424v209Ev();
+extern void _ZN8S9645C424v210Ev();
+static  VTBL_ENTRY vtc_S9645C42[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI8S9645C42[0]),
+  (VTBL_ENTRY)&_ZN8S9645C42D1Ev,
+  (VTBL_ENTRY)&_ZN8S9645C42D0Ev,
+  (VTBL_ENTRY)&_ZN8S9645C424v203Ev,
+  (VTBL_ENTRY)&_ZN8S9645C424v204Ev,
+  (VTBL_ENTRY)&_ZN8S9645C424v205Ev,
+  (VTBL_ENTRY)&_ZN8S9645C424v206Ev,
+  (VTBL_ENTRY)&_ZN8S9645C424v207Ev,
+  (VTBL_ENTRY)&_ZN8S9645C424v208Ev,
+  (VTBL_ENTRY)&_ZN8S9645C424v209Ev,
+  (VTBL_ENTRY)&_ZN8S9645C424v210Ev,
+};
+extern VTBL_ENTRY _ZTI8S9645C42[];
+extern  VTBL_ENTRY _ZTV8S9645C42[];
+Class_Descriptor cd_S9645C42 = {  "S9645C42", // class name
+  0,0,//no base classes
+  &(vtc_S9645C42[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(8,4), // object size
+  NSPAIRA(_ZTI8S9645C42),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV8S9645C42),12, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  0, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S9645C39  : S9645C40 , S9645C41 , S9645C42 {
+  char s9645c39f0[2];
+  ::S938C54 s9645c39f1;
+  ::S938C56 s9645c39f2;
+  char s9645c39f3[3];
+  ::S938C56 s9645c39f4;
+  void *s9645c39f5;
+  int s9645c39f6[3];
+  char s9645c39f7;
+  __tsi64 s9645c39f8;
+  void *s9645c39f9[5];
+  char s9645c39f10;
+  virtual  ~S9645C39(); // _ZN8S9645C39D1Ev
+  virtual void  v211(); // _ZN8S9645C394v211Ev
+  virtual void  v212(); // _ZN8S9645C394v212Ev
+  virtual void  v213(); // _ZN8S9645C394v213Ev
+  virtual void  v214(); // _ZN8S9645C394v214Ev
+  virtual void  v215(); // _ZN8S9645C394v215Ev
+  virtual void  v216(); // _ZN8S9645C394v216Ev
+  virtual void  v217(); // _ZN8S9645C394v217Ev
+  virtual void  v218(); // _ZN8S9645C394v218Ev
+  virtual void  v219(); // _ZN8S9645C394v219Ev
+  virtual void  v220(); // _ZN8S9645C394v220Ev
+  virtual void  v221(); // _ZN8S9645C394v221Ev
+  virtual void  v222(); // _ZN8S9645C394v222Ev
+  virtual void  v223(); // _ZN8S9645C394v223Ev
+  virtual void  v224(); // _ZN8S9645C394v224Ev
+  virtual void  v225(); // _ZN8S9645C394v225Ev
+  virtual void  v226(); // _ZN8S9645C394v226Ev
+  virtual void  v227(); // _ZN8S9645C394v227Ev
+  virtual void  v228(); // _ZN8S9645C394v228Ev
+  virtual void  v229(); // _ZN8S9645C394v229Ev
+  virtual void  v230(); // _ZN8S9645C394v230Ev
+  virtual void  v231(); // _ZN8S9645C394v231Ev
+  virtual void  v232(); // _ZN8S9645C394v232Ev
+  virtual void  v233(); // _ZN8S9645C394v233Ev
+  virtual void  v234(); // _ZN8S9645C394v234Ev
+  virtual void  v235(); // _ZN8S9645C394v235Ev
+  virtual void  v236(); // _ZN8S9645C394v236Ev
+  virtual void  v237(); // _ZN8S9645C394v237Ev
+  virtual void  v238(); // _ZN8S9645C394v238Ev
+  virtual void  v239(); // _ZN8S9645C394v239Ev
+  virtual void  v240(); // _ZN8S9645C394v240Ev
+  virtual void  v241(); // _ZN8S9645C394v241Ev
+  virtual void  v242(); // _ZN8S9645C394v242Ev
+  virtual void  v243(); // _ZN8S9645C394v243Ev
+  virtual void  v244(); // _ZN8S9645C394v244Ev
+  virtual void  v245(); // _ZN8S9645C394v245Ev
+  virtual void  v246(); // _ZN8S9645C394v246Ev
+  virtual void  v247(); // _ZN8S9645C394v247Ev
+  virtual void  v248(); // _ZN8S9645C394v248Ev
+  virtual void  v249(); // _ZN8S9645C394v249Ev
+  virtual void  v250(); // _ZN8S9645C394v250Ev
+  virtual void  v251(); // _ZN8S9645C394v251Ev
+  virtual void  v252(); // _ZN8S9645C394v252Ev
+  virtual void  v253(); // _ZN8S9645C394v253Ev
+  virtual void  v254(); // _ZN8S9645C394v254Ev
+  virtual void  v255(); // _ZN8S9645C394v255Ev
+  virtual void  v256(); // _ZN8S9645C394v256Ev
+  virtual void  v257(); // _ZN8S9645C394v257Ev
+  virtual void  v258(); // _ZN8S9645C394v258Ev
+  virtual void  v259(); // _ZN8S9645C394v259Ev
+  virtual void  v260(); // _ZN8S9645C394v260Ev
+  virtual void  v261(); // _ZN8S9645C394v261Ev
+  virtual void  v262(); // _ZN8S9645C394v262Ev
+  virtual void  v263(); // _ZN8S9645C394v263Ev
+  virtual void  v264(); // _ZN8S9645C394v264Ev
+  virtual void  v265(); // _ZN8S9645C394v265Ev
+  virtual void  v266(); // _ZN8S9645C394v266Ev
+  virtual void  v267(); // _ZN8S9645C394v267Ev
+  virtual void  v268(); // _ZN8S9645C394v268Ev
+  virtual void  v269(); // _ZN8S9645C394v269Ev
+  virtual void  v270(); // _ZN8S9645C394v270Ev
+  S9645C39(); // tgen
+};
+//SIG(-1 S9645C39) C1{ BC2{ vd v61 v62 v63 v64 v65 v66} BC3{ vd v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 v78 v79 v80 v81 v82 v83 v84 v85 v86 v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 v102 v103 v104 v105 v106 v107 v108} BC4{ vd v109 v110 v111 v112 v113 v114 v115 v116} vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53 v54 v55 v56 v57 v58 v59 v60 Fc[2] FC5{ vd m FC6{ Fi Fp[7] Fs Fc[10]} Fp Fc[2] FC7{ m FC8{ m Fp Fi FC9{ m}} FC8}} FC7 Fc[3] FC7 Fp Fi[3] Fc FL Fp[5] Fc}
+
+
+ S9645C39 ::~S9645C39(){ note_dtor("S9645C39", this);}
+void  S9645C39 ::v211(){vfunc_called(this, "_ZN8S9645C394v211Ev");}
+void  S9645C39 ::v212(){vfunc_called(this, "_ZN8S9645C394v212Ev");}
+void  S9645C39 ::v213(){vfunc_called(this, "_ZN8S9645C394v213Ev");}
+void  S9645C39 ::v214(){vfunc_called(this, "_ZN8S9645C394v214Ev");}
+void  S9645C39 ::v215(){vfunc_called(this, "_ZN8S9645C394v215Ev");}
+void  S9645C39 ::v216(){vfunc_called(this, "_ZN8S9645C394v216Ev");}
+void  S9645C39 ::v217(){vfunc_called(this, "_ZN8S9645C394v217Ev");}
+void  S9645C39 ::v218(){vfunc_called(this, "_ZN8S9645C394v218Ev");}
+void  S9645C39 ::v219(){vfunc_called(this, "_ZN8S9645C394v219Ev");}
+void  S9645C39 ::v220(){vfunc_called(this, "_ZN8S9645C394v220Ev");}
+void  S9645C39 ::v221(){vfunc_called(this, "_ZN8S9645C394v221Ev");}
+void  S9645C39 ::v222(){vfunc_called(this, "_ZN8S9645C394v222Ev");}
+void  S9645C39 ::v223(){vfunc_called(this, "_ZN8S9645C394v223Ev");}
+void  S9645C39 ::v224(){vfunc_called(this, "_ZN8S9645C394v224Ev");}
+void  S9645C39 ::v225(){vfunc_called(this, "_ZN8S9645C394v225Ev");}
+void  S9645C39 ::v226(){vfunc_called(this, "_ZN8S9645C394v226Ev");}
+void  S9645C39 ::v227(){vfunc_called(this, "_ZN8S9645C394v227Ev");}
+void  S9645C39 ::v228(){vfunc_called(this, "_ZN8S9645C394v228Ev");}
+void  S9645C39 ::v229(){vfunc_called(this, "_ZN8S9645C394v229Ev");}
+void  S9645C39 ::v230(){vfunc_called(this, "_ZN8S9645C394v230Ev");}
+void  S9645C39 ::v231(){vfunc_called(this, "_ZN8S9645C394v231Ev");}
+void  S9645C39 ::v232(){vfunc_called(this, "_ZN8S9645C394v232Ev");}
+void  S9645C39 ::v233(){vfunc_called(this, "_ZN8S9645C394v233Ev");}
+void  S9645C39 ::v234(){vfunc_called(this, "_ZN8S9645C394v234Ev");}
+void  S9645C39 ::v235(){vfunc_called(this, "_ZN8S9645C394v235Ev");}
+void  S9645C39 ::v236(){vfunc_called(this, "_ZN8S9645C394v236Ev");}
+void  S9645C39 ::v237(){vfunc_called(this, "_ZN8S9645C394v237Ev");}
+void  S9645C39 ::v238(){vfunc_called(this, "_ZN8S9645C394v238Ev");}
+void  S9645C39 ::v239(){vfunc_called(this, "_ZN8S9645C394v239Ev");}
+void  S9645C39 ::v240(){vfunc_called(this, "_ZN8S9645C394v240Ev");}
+void  S9645C39 ::v241(){vfunc_called(this, "_ZN8S9645C394v241Ev");}
+void  S9645C39 ::v242(){vfunc_called(this, "_ZN8S9645C394v242Ev");}
+void  S9645C39 ::v243(){vfunc_called(this, "_ZN8S9645C394v243Ev");}
+void  S9645C39 ::v244(){vfunc_called(this, "_ZN8S9645C394v244Ev");}
+void  S9645C39 ::v245(){vfunc_called(this, "_ZN8S9645C394v245Ev");}
+void  S9645C39 ::v246(){vfunc_called(this, "_ZN8S9645C394v246Ev");}
+void  S9645C39 ::v247(){vfunc_called(this, "_ZN8S9645C394v247Ev");}
+void  S9645C39 ::v248(){vfunc_called(this, "_ZN8S9645C394v248Ev");}
+void  S9645C39 ::v249(){vfunc_called(this, "_ZN8S9645C394v249Ev");}
+void  S9645C39 ::v250(){vfunc_called(this, "_ZN8S9645C394v250Ev");}
+void  S9645C39 ::v251(){vfunc_called(this, "_ZN8S9645C394v251Ev");}
+void  S9645C39 ::v252(){vfunc_called(this, "_ZN8S9645C394v252Ev");}
+void  S9645C39 ::v253(){vfunc_called(this, "_ZN8S9645C394v253Ev");}
+void  S9645C39 ::v254(){vfunc_called(this, "_ZN8S9645C394v254Ev");}
+void  S9645C39 ::v255(){vfunc_called(this, "_ZN8S9645C394v255Ev");}
+void  S9645C39 ::v256(){vfunc_called(this, "_ZN8S9645C394v256Ev");}
+void  S9645C39 ::v257(){vfunc_called(this, "_ZN8S9645C394v257Ev");}
+void  S9645C39 ::v258(){vfunc_called(this, "_ZN8S9645C394v258Ev");}
+void  S9645C39 ::v259(){vfunc_called(this, "_ZN8S9645C394v259Ev");}
+void  S9645C39 ::v260(){vfunc_called(this, "_ZN8S9645C394v260Ev");}
+void  S9645C39 ::v261(){vfunc_called(this, "_ZN8S9645C394v261Ev");}
+void  S9645C39 ::v262(){vfunc_called(this, "_ZN8S9645C394v262Ev");}
+void  S9645C39 ::v263(){vfunc_called(this, "_ZN8S9645C394v263Ev");}
+void  S9645C39 ::v264(){vfunc_called(this, "_ZN8S9645C394v264Ev");}
+void  S9645C39 ::v265(){vfunc_called(this, "_ZN8S9645C394v265Ev");}
+void  S9645C39 ::v266(){vfunc_called(this, "_ZN8S9645C394v266Ev");}
+void  S9645C39 ::v267(){vfunc_called(this, "_ZN8S9645C394v267Ev");}
+void  S9645C39 ::v268(){vfunc_called(this, "_ZN8S9645C394v268Ev");}
+void  S9645C39 ::v269(){vfunc_called(this, "_ZN8S9645C394v269Ev");}
+void  S9645C39 ::v270(){vfunc_called(this, "_ZN8S9645C394v270Ev");}
+S9645C39 ::S9645C39(){ note_ctor("S9645C39", this);} // tgen
+
+static void Test_S9645C39()
+{
+  extern Class_Descriptor cd_S9645C39;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(41,51)];
+    init_test(&cd_S9645C39, buf);
+    S9645C39 *dp, &lv = *(dp=new (buf) S9645C39());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(320,200), "sizeof(S9645C39)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S9645C39)");
+    check_base_class_offset(lv, (S9645C40*), 0, "S9645C39");
+    check_base_class_offset(lv, (S9645C41*), ABISELECT(8,4), "S9645C39");
+    check_base_class_offset(lv, (S9645C42*), ABISELECT(16,8), "S9645C39");
+    check_field_offset(lv, s9645c39f0, ABISELECT(24,12), "S9645C39.s9645c39f0");
+    check_field_offset(lv, s9645c39f1, ABISELECT(32,16), "S9645C39.s9645c39f1");
+    check_field_offset(lv, s9645c39f2, ABISELECT(168,96), "S9645C39.s9645c39f2");
+    check_field_offset(lv, s9645c39f3, ABISELECT(200,120), "S9645C39.s9645c39f3");
+    check_field_offset(lv, s9645c39f4, ABISELECT(208,124), "S9645C39.s9645c39f4");
+    check_field_offset(lv, s9645c39f5, ABISELECT(240,148), "S9645C39.s9645c39f5");
+    check_field_offset(lv, s9645c39f6, ABISELECT(248,152), "S9645C39.s9645c39f6");
+    check_field_offset(lv, s9645c39f7, ABISELECT(260,164), "S9645C39.s9645c39f7");
+    check_field_offset(lv, s9645c39f8, ABISELECT(264,168), "S9645C39.s9645c39f8");
+    check_field_offset(lv, s9645c39f9, ABISELECT(272,176), "S9645C39.s9645c39f9");
+    check_field_offset(lv, s9645c39f10, ABISELECT(312,196), "S9645C39.s9645c39f10");
+    test_class_info(&lv, &cd_S9645C39);
+    dp->~S9645C39();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS9645C39(Test_S9645C39, "S9645C39", ABISELECT(320,200));
+
+#else // __cplusplus
+
+extern void _ZN8S9645C39C1Ev();
+extern void _ZN8S9645C39D1Ev();
+Name_Map name_map_S9645C39[] = {
+  NSPAIR(_ZN8S9645C39C1Ev),
+  NSPAIR(_ZN8S9645C39D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S9645C40;
+extern VTBL_ENTRY _ZTI8S9645C40[];
+extern  VTBL_ENTRY _ZTV8S9645C40[];
+extern Class_Descriptor cd_S9645C41;
+extern VTBL_ENTRY _ZTI8S9645C41[];
+extern  VTBL_ENTRY _ZTV8S9645C41[];
+extern Class_Descriptor cd_S9645C42;
+extern VTBL_ENTRY _ZTI8S9645C42[];
+extern  VTBL_ENTRY _ZTV8S9645C42[];
+static Base_Class bases_S9645C39[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S9645C40,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {&cd_S9645C41,    ABISELECT(8,4), //bcp->offset
+    70, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    2, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {&cd_S9645C42,    ABISELECT(16,8), //bcp->offset
+    116, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    3, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI8S9645C39[];
+extern void _ZN8S9645C39D1Ev();
+extern void _ZN8S9645C39D0Ev();
+extern void _ZN8S9645C404v155Ev();
+extern void _ZN8S9645C404v156Ev();
+extern void _ZN8S9645C404v157Ev();
+extern void _ZN8S9645C404v158Ev();
+extern void _ZN8S9645C404v159Ev();
+extern void _ZN8S9645C404v160Ev();
+extern void _ZN8S9645C394v211Ev();
+extern void _ZN8S9645C394v212Ev();
+extern void _ZN8S9645C394v213Ev();
+extern void _ZN8S9645C394v214Ev();
+extern void _ZN8S9645C394v215Ev();
+extern void _ZN8S9645C394v216Ev();
+extern void _ZN8S9645C394v217Ev();
+extern void _ZN8S9645C394v218Ev();
+extern void _ZN8S9645C394v219Ev();
+extern void _ZN8S9645C394v220Ev();
+extern void _ZN8S9645C394v221Ev();
+extern void _ZN8S9645C394v222Ev();
+extern void _ZN8S9645C394v223Ev();
+extern void _ZN8S9645C394v224Ev();
+extern void _ZN8S9645C394v225Ev();
+extern void _ZN8S9645C394v226Ev();
+extern void _ZN8S9645C394v227Ev();
+extern void _ZN8S9645C394v228Ev();
+extern void _ZN8S9645C394v229Ev();
+extern void _ZN8S9645C394v230Ev();
+extern void _ZN8S9645C394v231Ev();
+extern void _ZN8S9645C394v232Ev();
+extern void _ZN8S9645C394v233Ev();
+extern void _ZN8S9645C394v234Ev();
+extern void _ZN8S9645C394v235Ev();
+extern void _ZN8S9645C394v236Ev();
+extern void _ZN8S9645C394v237Ev();
+extern void _ZN8S9645C394v238Ev();
+extern void _ZN8S9645C394v239Ev();
+extern void _ZN8S9645C394v240Ev();
+extern void _ZN8S9645C394v241Ev();
+extern void _ZN8S9645C394v242Ev();
+extern void _ZN8S9645C394v243Ev();
+extern void _ZN8S9645C394v244Ev();
+extern void _ZN8S9645C394v245Ev();
+extern void _ZN8S9645C394v246Ev();
+extern void _ZN8S9645C394v247Ev();
+extern void _ZN8S9645C394v248Ev();
+extern void _ZN8S9645C394v249Ev();
+extern void _ZN8S9645C394v250Ev();
+extern void _ZN8S9645C394v251Ev();
+extern void _ZN8S9645C394v252Ev();
+extern void _ZN8S9645C394v253Ev();
+extern void _ZN8S9645C394v254Ev();
+extern void _ZN8S9645C394v255Ev();
+extern void _ZN8S9645C394v256Ev();
+extern void _ZN8S9645C394v257Ev();
+extern void _ZN8S9645C394v258Ev();
+extern void _ZN8S9645C394v259Ev();
+extern void _ZN8S9645C394v260Ev();
+extern void _ZN8S9645C394v261Ev();
+extern void _ZN8S9645C394v262Ev();
+extern void _ZN8S9645C394v263Ev();
+extern void _ZN8S9645C394v264Ev();
+extern void _ZN8S9645C394v265Ev();
+extern void _ZN8S9645C394v266Ev();
+extern void _ZN8S9645C394v267Ev();
+extern void _ZN8S9645C394v268Ev();
+extern void _ZN8S9645C394v269Ev();
+extern void _ZN8S9645C394v270Ev();
+extern void ABISELECT(_ZThn8_N8S9645C39D1Ev,_ZThn4_N8S9645C39D1Ev)();
+extern void ABISELECT(_ZThn8_N8S9645C39D0Ev,_ZThn4_N8S9645C39D0Ev)();
+extern void _ZN8S9645C414v161Ev();
+extern void _ZN8S9645C414v162Ev();
+extern void _ZN8S9645C414v163Ev();
+extern void _ZN8S9645C414v164Ev();
+extern void _ZN8S9645C414v165Ev();
+extern void _ZN8S9645C414v166Ev();
+extern void _ZN8S9645C414v167Ev();
+extern void _ZN8S9645C414v168Ev();
+extern void _ZN8S9645C414v169Ev();
+extern void _ZN8S9645C414v170Ev();
+extern void _ZN8S9645C414v171Ev();
+extern void _ZN8S9645C414v172Ev();
+extern void _ZN8S9645C414v173Ev();
+extern void _ZN8S9645C414v174Ev();
+extern void _ZN8S9645C414v175Ev();
+extern void _ZN8S9645C414v176Ev();
+extern void _ZN8S9645C414v177Ev();
+extern void _ZN8S9645C414v178Ev();
+extern void _ZN8S9645C414v179Ev();
+extern void _ZN8S9645C414v180Ev();
+extern void _ZN8S9645C414v181Ev();
+extern void _ZN8S9645C414v182Ev();
+extern void _ZN8S9645C414v183Ev();
+extern void _ZN8S9645C414v184Ev();
+extern void _ZN8S9645C414v185Ev();
+extern void _ZN8S9645C414v186Ev();
+extern void _ZN8S9645C414v187Ev();
+extern void _ZN8S9645C414v188Ev();
+extern void _ZN8S9645C414v189Ev();
+extern void _ZN8S9645C414v190Ev();
+extern void _ZN8S9645C414v191Ev();
+extern void _ZN8S9645C414v192Ev();
+extern void _ZN8S9645C414v193Ev();
+extern void _ZN8S9645C414v194Ev();
+extern void _ZN8S9645C414v195Ev();
+extern void _ZN8S9645C414v196Ev();
+extern void _ZN8S9645C414v197Ev();
+extern void _ZN8S9645C414v198Ev();
+extern void _ZN8S9645C414v199Ev();
+extern void _ZN8S9645C414v200Ev();
+extern void _ZN8S9645C414v201Ev();
+extern void _ZN8S9645C414v202Ev();
+extern void ABISELECT(_ZThn16_N8S9645C39D1Ev,_ZThn8_N8S9645C39D1Ev)();
+extern void ABISELECT(_ZThn16_N8S9645C39D0Ev,_ZThn8_N8S9645C39D0Ev)();
+extern void _ZN8S9645C424v203Ev();
+extern void _ZN8S9645C424v204Ev();
+extern void _ZN8S9645C424v205Ev();
+extern void _ZN8S9645C424v206Ev();
+extern void _ZN8S9645C424v207Ev();
+extern void _ZN8S9645C424v208Ev();
+extern void _ZN8S9645C424v209Ev();
+extern void _ZN8S9645C424v210Ev();
+static  VTBL_ENTRY vtc_S9645C39[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI8S9645C39[0]),
+  (VTBL_ENTRY)&_ZN8S9645C39D1Ev,
+  (VTBL_ENTRY)&_ZN8S9645C39D0Ev,
+  (VTBL_ENTRY)&_ZN8S9645C404v155Ev,
+  (VTBL_ENTRY)&_ZN8S9645C404v156Ev,
+  (VTBL_ENTRY)&_ZN8S9645C404v157Ev,
+  (VTBL_ENTRY)&_ZN8S9645C404v158Ev,
+  (VTBL_ENTRY)&_ZN8S9645C404v159Ev,
+  (VTBL_ENTRY)&_ZN8S9645C404v160Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v211Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v212Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v213Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v214Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v215Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v216Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v217Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v218Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v219Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v220Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v221Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v222Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v223Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v224Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v225Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v226Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v227Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v228Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v229Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v230Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v231Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v232Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v233Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v234Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v235Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v236Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v237Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v238Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v239Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v240Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v241Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v242Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v243Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v244Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v245Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v246Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v247Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v248Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v249Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v250Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v251Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v252Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v253Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v254Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v255Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v256Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v257Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v258Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v259Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v260Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v261Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v262Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v263Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v264Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v265Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v266Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v267Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v268Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v269Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v270Ev,
+  ABISELECT(-8,-4),
+  (VTBL_ENTRY)&(_ZTI8S9645C39[0]),
+  (VTBL_ENTRY)&ABISELECT(_ZThn8_N8S9645C39D1Ev,_ZThn4_N8S9645C39D1Ev),
+  (VTBL_ENTRY)&ABISELECT(_ZThn8_N8S9645C39D0Ev,_ZThn4_N8S9645C39D0Ev),
+  (VTBL_ENTRY)&_ZN8S9645C414v161Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v162Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v163Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v164Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v165Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v166Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v167Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v168Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v169Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v170Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v171Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v172Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v173Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v174Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v175Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v176Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v177Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v178Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v179Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v180Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v181Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v182Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v183Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v184Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v185Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v186Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v187Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v188Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v189Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v190Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v191Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v192Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v193Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v194Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v195Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v196Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v197Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v198Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v199Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v200Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v201Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v202Ev,
+  ABISELECT(-16,-8),
+  (VTBL_ENTRY)&(_ZTI8S9645C39[0]),
+  (VTBL_ENTRY)&ABISELECT(_ZThn16_N8S9645C39D1Ev,_ZThn8_N8S9645C39D1Ev),
+  (VTBL_ENTRY)&ABISELECT(_ZThn16_N8S9645C39D0Ev,_ZThn8_N8S9645C39D0Ev),
+  (VTBL_ENTRY)&_ZN8S9645C424v203Ev,
+  (VTBL_ENTRY)&_ZN8S9645C424v204Ev,
+  (VTBL_ENTRY)&_ZN8S9645C424v205Ev,
+  (VTBL_ENTRY)&_ZN8S9645C424v206Ev,
+  (VTBL_ENTRY)&_ZN8S9645C424v207Ev,
+  (VTBL_ENTRY)&_ZN8S9645C424v208Ev,
+  (VTBL_ENTRY)&_ZN8S9645C424v209Ev,
+  (VTBL_ENTRY)&_ZN8S9645C424v210Ev,
+};
+extern VTBL_ENTRY _ZTI8S9645C39[];
+extern  VTBL_ENTRY _ZTV8S9645C39[];
+Class_Descriptor cd_S9645C39 = {  "S9645C39", // class name
+  bases_S9645C39, 3,
+  &(vtc_S9645C39[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(320,200), // object size
+  NSPAIRA(_ZTI8S9645C39),ABISELECT(72,40), //typeinfo_var
+  NSPAIRA(_ZTV8S9645C39),128, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  3, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S9645C49  {
+  virtual  ~S9645C49(); // _ZN8S9645C49D1Ev
+  virtual void  v289(); // _ZN8S9645C494v289Ev
+  virtual void  v290(); // _ZN8S9645C494v290Ev
+  virtual void  v291(); // _ZN8S9645C494v291Ev
+  virtual void  v292(); // _ZN8S9645C494v292Ev
+  virtual void  v293(); // _ZN8S9645C494v293Ev
+  virtual void  v294(); // _ZN8S9645C494v294Ev
+  virtual void  v295(); // _ZN8S9645C494v295Ev
+  virtual void  v296(); // _ZN8S9645C494v296Ev
+  virtual void  v297(); // _ZN8S9645C494v297Ev
+  virtual void  v298(); // _ZN8S9645C494v298Ev
+  virtual void  v299(); // _ZN8S9645C494v299Ev
+  virtual void  v300(); // _ZN8S9645C494v300Ev
+  virtual void  v301(); // _ZN8S9645C494v301Ev
+  virtual void  v302(); // _ZN8S9645C494v302Ev
+  virtual void  v303(); // _ZN8S9645C494v303Ev
+  virtual void  v304(); // _ZN8S9645C494v304Ev
+  virtual void  v305(); // _ZN8S9645C494v305Ev
+  virtual void  v306(); // _ZN8S9645C494v306Ev
+  virtual void  v307(); // _ZN8S9645C494v307Ev
+  virtual void  v308(); // _ZN8S9645C494v308Ev
+  virtual void  v309(); // _ZN8S9645C494v309Ev
+  virtual void  v310(); // _ZN8S9645C494v310Ev
+  virtual void  v311(); // _ZN8S9645C494v311Ev
+  virtual void  v312(); // _ZN8S9645C494v312Ev
+  virtual void  v313(); // _ZN8S9645C494v313Ev
+  virtual void  v314(); // _ZN8S9645C494v314Ev
+  virtual void  v315(); // _ZN8S9645C494v315Ev
+  S9645C49(); // tgen
+};
+//SIG(-1 S9645C49) C1{ vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27}
+
+
+ S9645C49 ::~S9645C49(){ note_dtor("S9645C49", this);}
+void  S9645C49 ::v289(){vfunc_called(this, "_ZN8S9645C494v289Ev");}
+void  S9645C49 ::v290(){vfunc_called(this, "_ZN8S9645C494v290Ev");}
+void  S9645C49 ::v291(){vfunc_called(this, "_ZN8S9645C494v291Ev");}
+void  S9645C49 ::v292(){vfunc_called(this, "_ZN8S9645C494v292Ev");}
+void  S9645C49 ::v293(){vfunc_called(this, "_ZN8S9645C494v293Ev");}
+void  S9645C49 ::v294(){vfunc_called(this, "_ZN8S9645C494v294Ev");}
+void  S9645C49 ::v295(){vfunc_called(this, "_ZN8S9645C494v295Ev");}
+void  S9645C49 ::v296(){vfunc_called(this, "_ZN8S9645C494v296Ev");}
+void  S9645C49 ::v297(){vfunc_called(this, "_ZN8S9645C494v297Ev");}
+void  S9645C49 ::v298(){vfunc_called(this, "_ZN8S9645C494v298Ev");}
+void  S9645C49 ::v299(){vfunc_called(this, "_ZN8S9645C494v299Ev");}
+void  S9645C49 ::v300(){vfunc_called(this, "_ZN8S9645C494v300Ev");}
+void  S9645C49 ::v301(){vfunc_called(this, "_ZN8S9645C494v301Ev");}
+void  S9645C49 ::v302(){vfunc_called(this, "_ZN8S9645C494v302Ev");}
+void  S9645C49 ::v303(){vfunc_called(this, "_ZN8S9645C494v303Ev");}
+void  S9645C49 ::v304(){vfunc_called(this, "_ZN8S9645C494v304Ev");}
+void  S9645C49 ::v305(){vfunc_called(this, "_ZN8S9645C494v305Ev");}
+void  S9645C49 ::v306(){vfunc_called(this, "_ZN8S9645C494v306Ev");}
+void  S9645C49 ::v307(){vfunc_called(this, "_ZN8S9645C494v307Ev");}
+void  S9645C49 ::v308(){vfunc_called(this, "_ZN8S9645C494v308Ev");}
+void  S9645C49 ::v309(){vfunc_called(this, "_ZN8S9645C494v309Ev");}
+void  S9645C49 ::v310(){vfunc_called(this, "_ZN8S9645C494v310Ev");}
+void  S9645C49 ::v311(){vfunc_called(this, "_ZN8S9645C494v311Ev");}
+void  S9645C49 ::v312(){vfunc_called(this, "_ZN8S9645C494v312Ev");}
+void  S9645C49 ::v313(){vfunc_called(this, "_ZN8S9645C494v313Ev");}
+void  S9645C49 ::v314(){vfunc_called(this, "_ZN8S9645C494v314Ev");}
+void  S9645C49 ::v315(){vfunc_called(this, "_ZN8S9645C494v315Ev");}
+S9645C49 ::S9645C49(){ note_ctor("S9645C49", this);} // tgen
+
+static void Test_S9645C49()
+{
+  extern Class_Descriptor cd_S9645C49;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[2];
+    init_test(&cd_S9645C49, buf);
+    S9645C49 *dp, &lv = *(dp=new (buf) S9645C49());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(8,4), "sizeof(S9645C49)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S9645C49)");
+    test_class_info(&lv, &cd_S9645C49);
+    dp->~S9645C49();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS9645C49(Test_S9645C49, "S9645C49", ABISELECT(8,4));
+
+#else // __cplusplus
+
+extern void _ZN8S9645C49C1Ev();
+extern void _ZN8S9645C49D1Ev();
+Name_Map name_map_S9645C49[] = {
+  NSPAIR(_ZN8S9645C49C1Ev),
+  NSPAIR(_ZN8S9645C49D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI8S9645C49[];
+extern void _ZN8S9645C49D1Ev();
+extern void _ZN8S9645C49D0Ev();
+extern void _ZN8S9645C494v289Ev();
+extern void _ZN8S9645C494v290Ev();
+extern void _ZN8S9645C494v291Ev();
+extern void _ZN8S9645C494v292Ev();
+extern void _ZN8S9645C494v293Ev();
+extern void _ZN8S9645C494v294Ev();
+extern void _ZN8S9645C494v295Ev();
+extern void _ZN8S9645C494v296Ev();
+extern void _ZN8S9645C494v297Ev();
+extern void _ZN8S9645C494v298Ev();
+extern void _ZN8S9645C494v299Ev();
+extern void _ZN8S9645C494v300Ev();
+extern void _ZN8S9645C494v301Ev();
+extern void _ZN8S9645C494v302Ev();
+extern void _ZN8S9645C494v303Ev();
+extern void _ZN8S9645C494v304Ev();
+extern void _ZN8S9645C494v305Ev();
+extern void _ZN8S9645C494v306Ev();
+extern void _ZN8S9645C494v307Ev();
+extern void _ZN8S9645C494v308Ev();
+extern void _ZN8S9645C494v309Ev();
+extern void _ZN8S9645C494v310Ev();
+extern void _ZN8S9645C494v311Ev();
+extern void _ZN8S9645C494v312Ev();
+extern void _ZN8S9645C494v313Ev();
+extern void _ZN8S9645C494v314Ev();
+extern void _ZN8S9645C494v315Ev();
+static  VTBL_ENTRY vtc_S9645C49[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI8S9645C49[0]),
+  (VTBL_ENTRY)&_ZN8S9645C49D1Ev,
+  (VTBL_ENTRY)&_ZN8S9645C49D0Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v289Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v290Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v291Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v292Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v293Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v294Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v295Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v296Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v297Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v298Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v299Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v300Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v301Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v302Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v303Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v304Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v305Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v306Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v307Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v308Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v309Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v310Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v311Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v312Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v313Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v314Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v315Ev,
+};
+extern VTBL_ENTRY _ZTI8S9645C49[];
+extern  VTBL_ENTRY _ZTV8S9645C49[];
+Class_Descriptor cd_S9645C49 = {  "S9645C49", // class name
+  0,0,//no base classes
+  &(vtc_S9645C49[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(8,4), // object size
+  NSPAIRA(_ZTI8S9645C49),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV8S9645C49),31, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  0, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S9645C48  : S9645C49 {
+  void *s9645c48f0;
+  virtual void  v271(); // _ZN8S9645C484v271Ev
+  virtual void  v272(); // _ZN8S9645C484v272Ev
+  virtual void  v273(); // _ZN8S9645C484v273Ev
+  virtual void  v274(); // _ZN8S9645C484v274Ev
+  virtual void  v275(); // _ZN8S9645C484v275Ev
+  virtual void  v276(); // _ZN8S9645C484v276Ev
+  virtual void  v277(); // _ZN8S9645C484v277Ev
+  virtual void  v278(); // _ZN8S9645C484v278Ev
+  virtual void  v279(); // _ZN8S9645C484v279Ev
+  virtual void  v280(); // _ZN8S9645C484v280Ev
+  virtual void  v281(); // _ZN8S9645C484v281Ev
+  virtual void  v282(); // _ZN8S9645C484v282Ev
+  virtual void  v283(); // _ZN8S9645C484v283Ev
+  virtual void  v284(); // _ZN8S9645C484v284Ev
+  virtual void  v285(); // _ZN8S9645C484v285Ev
+  virtual void  v286(); // _ZN8S9645C484v286Ev
+  virtual void  v287(); // _ZN8S9645C484v287Ev
+  virtual void  v288(); // _ZN8S9645C484v288Ev
+  ~S9645C48(); // tgen
+  S9645C48(); // tgen
+};
+//SIG(-1 S9645C48) C1{ BC2{ vd v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45} v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 Fp}
+
+
+void  S9645C48 ::v271(){vfunc_called(this, "_ZN8S9645C484v271Ev");}
+void  S9645C48 ::v272(){vfunc_called(this, "_ZN8S9645C484v272Ev");}
+void  S9645C48 ::v273(){vfunc_called(this, "_ZN8S9645C484v273Ev");}
+void  S9645C48 ::v274(){vfunc_called(this, "_ZN8S9645C484v274Ev");}
+void  S9645C48 ::v275(){vfunc_called(this, "_ZN8S9645C484v275Ev");}
+void  S9645C48 ::v276(){vfunc_called(this, "_ZN8S9645C484v276Ev");}
+void  S9645C48 ::v277(){vfunc_called(this, "_ZN8S9645C484v277Ev");}
+void  S9645C48 ::v278(){vfunc_called(this, "_ZN8S9645C484v278Ev");}
+void  S9645C48 ::v279(){vfunc_called(this, "_ZN8S9645C484v279Ev");}
+void  S9645C48 ::v280(){vfunc_called(this, "_ZN8S9645C484v280Ev");}
+void  S9645C48 ::v281(){vfunc_called(this, "_ZN8S9645C484v281Ev");}
+void  S9645C48 ::v282(){vfunc_called(this, "_ZN8S9645C484v282Ev");}
+void  S9645C48 ::v283(){vfunc_called(this, "_ZN8S9645C484v283Ev");}
+void  S9645C48 ::v284(){vfunc_called(this, "_ZN8S9645C484v284Ev");}
+void  S9645C48 ::v285(){vfunc_called(this, "_ZN8S9645C484v285Ev");}
+void  S9645C48 ::v286(){vfunc_called(this, "_ZN8S9645C484v286Ev");}
+void  S9645C48 ::v287(){vfunc_called(this, "_ZN8S9645C484v287Ev");}
+void  S9645C48 ::v288(){vfunc_called(this, "_ZN8S9645C484v288Ev");}
+S9645C48 ::~S9645C48(){ note_dtor("S9645C48", this);} // tgen
+S9645C48 ::S9645C48(){ note_ctor("S9645C48", this);} // tgen
+
+static void Test_S9645C48()
+{
+  extern Class_Descriptor cd_S9645C48;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[3];
+    init_test(&cd_S9645C48, buf);
+    S9645C48 *dp, &lv = *(dp=new (buf) S9645C48());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(16,8), "sizeof(S9645C48)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S9645C48)");
+    check_base_class_offset(lv, (S9645C49*), 0, "S9645C48");
+    check_field_offset(lv, s9645c48f0, ABISELECT(8,4), "S9645C48.s9645c48f0");
+    test_class_info(&lv, &cd_S9645C48);
+    dp->~S9645C48();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS9645C48(Test_S9645C48, "S9645C48", ABISELECT(16,8));
+
+#else // __cplusplus
+
+extern void _ZN8S9645C48C1Ev();
+extern void _ZN8S9645C48D1Ev();
+Name_Map name_map_S9645C48[] = {
+  NSPAIR(_ZN8S9645C48C1Ev),
+  NSPAIR(_ZN8S9645C48D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S9645C49;
+extern VTBL_ENTRY _ZTI8S9645C49[];
+extern  VTBL_ENTRY _ZTV8S9645C49[];
+static Base_Class bases_S9645C48[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S9645C49,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI8S9645C48[];
+extern void _ZN8S9645C48D1Ev();
+extern void _ZN8S9645C48D0Ev();
+extern void _ZN8S9645C494v289Ev();
+extern void _ZN8S9645C494v290Ev();
+extern void _ZN8S9645C494v291Ev();
+extern void _ZN8S9645C494v292Ev();
+extern void _ZN8S9645C494v293Ev();
+extern void _ZN8S9645C494v294Ev();
+extern void _ZN8S9645C494v295Ev();
+extern void _ZN8S9645C494v296Ev();
+extern void _ZN8S9645C494v297Ev();
+extern void _ZN8S9645C494v298Ev();
+extern void _ZN8S9645C494v299Ev();
+extern void _ZN8S9645C494v300Ev();
+extern void _ZN8S9645C494v301Ev();
+extern void _ZN8S9645C494v302Ev();
+extern void _ZN8S9645C494v303Ev();
+extern void _ZN8S9645C494v304Ev();
+extern void _ZN8S9645C494v305Ev();
+extern void _ZN8S9645C494v306Ev();
+extern void _ZN8S9645C494v307Ev();
+extern void _ZN8S9645C494v308Ev();
+extern void _ZN8S9645C494v309Ev();
+extern void _ZN8S9645C494v310Ev();
+extern void _ZN8S9645C494v311Ev();
+extern void _ZN8S9645C494v312Ev();
+extern void _ZN8S9645C494v313Ev();
+extern void _ZN8S9645C494v314Ev();
+extern void _ZN8S9645C494v315Ev();
+extern void _ZN8S9645C484v271Ev();
+extern void _ZN8S9645C484v272Ev();
+extern void _ZN8S9645C484v273Ev();
+extern void _ZN8S9645C484v274Ev();
+extern void _ZN8S9645C484v275Ev();
+extern void _ZN8S9645C484v276Ev();
+extern void _ZN8S9645C484v277Ev();
+extern void _ZN8S9645C484v278Ev();
+extern void _ZN8S9645C484v279Ev();
+extern void _ZN8S9645C484v280Ev();
+extern void _ZN8S9645C484v281Ev();
+extern void _ZN8S9645C484v282Ev();
+extern void _ZN8S9645C484v283Ev();
+extern void _ZN8S9645C484v284Ev();
+extern void _ZN8S9645C484v285Ev();
+extern void _ZN8S9645C484v286Ev();
+extern void _ZN8S9645C484v287Ev();
+extern void _ZN8S9645C484v288Ev();
+static  VTBL_ENTRY vtc_S9645C48[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI8S9645C48[0]),
+  (VTBL_ENTRY)&_ZN8S9645C48D1Ev,
+  (VTBL_ENTRY)&_ZN8S9645C48D0Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v289Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v290Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v291Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v292Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v293Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v294Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v295Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v296Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v297Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v298Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v299Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v300Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v301Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v302Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v303Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v304Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v305Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v306Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v307Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v308Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v309Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v310Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v311Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v312Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v313Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v314Ev,
+  (VTBL_ENTRY)&_ZN8S9645C494v315Ev,
+  (VTBL_ENTRY)&_ZN8S9645C484v271Ev,
+  (VTBL_ENTRY)&_ZN8S9645C484v272Ev,
+  (VTBL_ENTRY)&_ZN8S9645C484v273Ev,
+  (VTBL_ENTRY)&_ZN8S9645C484v274Ev,
+  (VTBL_ENTRY)&_ZN8S9645C484v275Ev,
+  (VTBL_ENTRY)&_ZN8S9645C484v276Ev,
+  (VTBL_ENTRY)&_ZN8S9645C484v277Ev,
+  (VTBL_ENTRY)&_ZN8S9645C484v278Ev,
+  (VTBL_ENTRY)&_ZN8S9645C484v279Ev,
+  (VTBL_ENTRY)&_ZN8S9645C484v280Ev,
+  (VTBL_ENTRY)&_ZN8S9645C484v281Ev,
+  (VTBL_ENTRY)&_ZN8S9645C484v282Ev,
+  (VTBL_ENTRY)&_ZN8S9645C484v283Ev,
+  (VTBL_ENTRY)&_ZN8S9645C484v284Ev,
+  (VTBL_ENTRY)&_ZN8S9645C484v285Ev,
+  (VTBL_ENTRY)&_ZN8S9645C484v286Ev,
+  (VTBL_ENTRY)&_ZN8S9645C484v287Ev,
+  (VTBL_ENTRY)&_ZN8S9645C484v288Ev,
+};
+extern VTBL_ENTRY _ZTI8S9645C48[];
+extern  VTBL_ENTRY _ZTV8S9645C48[];
+Class_Descriptor cd_S9645C48 = {  "S9645C48", // class name
+  bases_S9645C48, 1,
+  &(vtc_S9645C48[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(16,8), // object size
+  NSPAIRA(_ZTI8S9645C48),ABISELECT(24,12), //typeinfo_var
+  NSPAIRA(_ZTV8S9645C48),49, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  1, // n_initialized_bases
+  0, // has_virtual_bases
+  0, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S9645C1  : S9645C2_nt , S9645C3 , S9645C39 {
+  ::S9645C48 s9645c1f0;
+  void *s9645c1f1;
+  char s9645c1f2;
+  virtual  ~S9645C1(); // _ZN7S9645C1D1Ev
+  virtual void  v1(); // _ZN7S9645C12v1Ev
+  virtual void  v2(); // _ZN7S9645C12v2Ev
+  virtual void  v3(); // _ZN7S9645C12v3Ev
+  virtual void  v4(); // _ZN7S9645C12v4Ev
+  virtual void  v5(); // _ZN7S9645C12v5Ev
+  virtual void  v6(); // _ZN7S9645C12v6Ev
+  virtual void  v7(); // _ZN7S9645C12v7Ev
+  virtual void  v8(); // _ZN7S9645C12v8Ev
+  virtual void  v9(); // _ZN7S9645C12v9Ev
+  virtual void  v10(); // _ZN7S9645C13v10Ev
+  virtual void  v11(); // _ZN7S9645C13v11Ev
+  virtual void  v12(); // _ZN7S9645C13v12Ev
+  virtual void  v13(); // _ZN7S9645C13v13Ev
+  virtual void  v14(); // _ZN7S9645C13v14Ev
+  virtual void  v15(); // _ZN7S9645C13v15Ev
+  virtual void  v16(); // _ZN7S9645C13v16Ev
+  virtual void  v17(); // _ZN7S9645C13v17Ev
+  virtual void  v18(); // _ZN7S9645C13v18Ev
+  virtual void  v19(); // _ZN7S9645C13v19Ev
+  virtual void  v20(); // _ZN7S9645C13v20Ev
+  virtual void  v21(); // _ZN7S9645C13v21Ev
+  virtual void  v22(); // _ZN7S9645C13v22Ev
+  virtual void  v23(); // _ZN7S9645C13v23Ev
+  virtual void  v24(); // _ZN7S9645C13v24Ev
+  virtual void  v25(); // _ZN7S9645C13v25Ev
+  virtual void  v26(); // _ZN7S9645C13v26Ev
+  virtual void  v27(); // _ZN7S9645C13v27Ev
+  virtual void  v28(); // _ZN7S9645C13v28Ev
+  virtual void  v29(); // _ZN7S9645C13v29Ev
+  virtual void  v30(); // _ZN7S9645C13v30Ev
+  virtual void  v31(); // _ZN7S9645C13v31Ev
+  virtual void  v32(); // _ZN7S9645C13v32Ev
+  virtual void  v33(); // _ZN7S9645C13v33Ev
+  virtual void  v34(); // _ZN7S9645C13v34Ev
+  S9645C1(); // tgen
+};
+//SIG(1 S9645C1) C1{ BC2{ vd v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53} BC3{ BC4{ vd v54 v55 v56 v57 v58 v59 v60 v61 v62 v63 v64 v65 v66 v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 Fp Fi FC5{ BC6{ m Fp Fi FC7{ m} FC8{ m}}} FC9{ BC10{ m Fp Fi FC11{ m} FC12{ m}}} Fi} vd v77 v78 v79 v80 v81 v82 v83 v84 v85 v86 v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 v102 v103 v104 v105 v106 v107 v108 v109 v110 v111 v112 v113 v114 v115 v116 v117 v118 v119 v120 v121 v122 v123 v124 v125 v126 v127 v128 v129 v130 v131 v132 v133 v134 v135 v136 v137 v138 v139 v140 v141 v142 v143 v144 v145 v146 v147 v148 v149 v150 v151 v152 v153 v154 FC13{ m FC14{ m FL[2]}} FC13 FC13 FC15{ m FC14} FC16{ m FC15} FC17{ m FC15 FC15 FC15 FC15} FC17 FC13 FC13 FC13 Fp FC16 FC18{ m FC19{ m Fp Fi FC20{ m}} Fi} Fi Fp[7] FC21{ m Fp Fi FC8 FC22{ m}} Fi:8 Fi:8 Fi:16 Fi:16 Fi:8 Fi:8 Fi:8 Fi:32 Fi:8 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc!
 :1 Fc:1 F
 c:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fi[7] Fp[6] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 FC23{ BC24{ m Fp Fi FC25{ m} FC25}} Fp[4] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:16 Fi:16 Fi:16 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:8 Fi:8 Fi:8 Fp[7] FC26{ m Fp Fi[2] FC7} Fp[2] Fi:16 Fi:16 FC27{ BC10} FC28{ m Fp Fi[2] FC12} FC29{ m Fp Fi[2] FC22} Fp[2] Fi:24 Fi:8 Fp} BC30{ BC31{ vd v155 v156 v157 v158 v159 v160} BC32{ vd v161 v162 v163 v164 v165 v166 v167 v168 v169 v170 v171 v172 v173 v174 v175 v176 v177 v178 v179 v180 v181 v182 v183 v184 v185 v186 v187 v188 v189 v190 v191 v192 v193 v194 v195 v196 v197 v198 v199 v200 v201 v202} BC33{ vd v203 v204 v205 v206 v207 v208 v209 v210} vd v211 v212 v213 v214 v215 v216 v217 v218 v219 v220 v221 v222 v223 v224 v225 v226 v227 v228 v229 v230 v231 v232 v233 v234 v235 v236 v237 v238 v239 v240 v241 v242 v243 v244 v245 v24!
 6 v247 v2
 48 v249 v250 v251 v252 v253 v254 v255 v256 v257 v258 v259 v260 v261 v262 v263 v264 v265 v266 v267 v268 v269 v270 Fc[2] FC34{ vd m FC35{ Fi Fp[7] Fs Fc[10]} Fp Fc[2] FC36{ m FC19 FC19}} FC36 Fc[3] FC36 Fp Fi[3] Fc FL Fp[5] Fc} vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 v33 v34 FC37{ BC38{ vd v289 v290 v291 v292 v293 v294 v295 v296 v297 v298 v299 v300 v301 v302 v303 v304 v305 v306 v307 v308 v309 v310 v311 v312 v313 v314 v315} v271 v272 v273 v274 v275 v276 v277 v278 v279 v280 v281 v282 v283 v284 v285 v286 v287 v288 Fp} Fp Fc}
+
+
+ S9645C1 ::~S9645C1(){ note_dtor("S9645C1", this);}
+void  S9645C1 ::v1(){vfunc_called(this, "_ZN7S9645C12v1Ev");}
+void  S9645C1 ::v2(){vfunc_called(this, "_ZN7S9645C12v2Ev");}
+void  S9645C1 ::v3(){vfunc_called(this, "_ZN7S9645C12v3Ev");}
+void  S9645C1 ::v4(){vfunc_called(this, "_ZN7S9645C12v4Ev");}
+void  S9645C1 ::v5(){vfunc_called(this, "_ZN7S9645C12v5Ev");}
+void  S9645C1 ::v6(){vfunc_called(this, "_ZN7S9645C12v6Ev");}
+void  S9645C1 ::v7(){vfunc_called(this, "_ZN7S9645C12v7Ev");}
+void  S9645C1 ::v8(){vfunc_called(this, "_ZN7S9645C12v8Ev");}
+void  S9645C1 ::v9(){vfunc_called(this, "_ZN7S9645C12v9Ev");}
+void  S9645C1 ::v10(){vfunc_called(this, "_ZN7S9645C13v10Ev");}
+void  S9645C1 ::v11(){vfunc_called(this, "_ZN7S9645C13v11Ev");}
+void  S9645C1 ::v12(){vfunc_called(this, "_ZN7S9645C13v12Ev");}
+void  S9645C1 ::v13(){vfunc_called(this, "_ZN7S9645C13v13Ev");}
+void  S9645C1 ::v14(){vfunc_called(this, "_ZN7S9645C13v14Ev");}
+void  S9645C1 ::v15(){vfunc_called(this, "_ZN7S9645C13v15Ev");}
+void  S9645C1 ::v16(){vfunc_called(this, "_ZN7S9645C13v16Ev");}
+void  S9645C1 ::v17(){vfunc_called(this, "_ZN7S9645C13v17Ev");}
+void  S9645C1 ::v18(){vfunc_called(this, "_ZN7S9645C13v18Ev");}
+void  S9645C1 ::v19(){vfunc_called(this, "_ZN7S9645C13v19Ev");}
+void  S9645C1 ::v20(){vfunc_called(this, "_ZN7S9645C13v20Ev");}
+void  S9645C1 ::v21(){vfunc_called(this, "_ZN7S9645C13v21Ev");}
+void  S9645C1 ::v22(){vfunc_called(this, "_ZN7S9645C13v22Ev");}
+void  S9645C1 ::v23(){vfunc_called(this, "_ZN7S9645C13v23Ev");}
+void  S9645C1 ::v24(){vfunc_called(this, "_ZN7S9645C13v24Ev");}
+void  S9645C1 ::v25(){vfunc_called(this, "_ZN7S9645C13v25Ev");}
+void  S9645C1 ::v26(){vfunc_called(this, "_ZN7S9645C13v26Ev");}
+void  S9645C1 ::v27(){vfunc_called(this, "_ZN7S9645C13v27Ev");}
+void  S9645C1 ::v28(){vfunc_called(this, "_ZN7S9645C13v28Ev");}
+void  S9645C1 ::v29(){vfunc_called(this, "_ZN7S9645C13v29Ev");}
+void  S9645C1 ::v30(){vfunc_called(this, "_ZN7S9645C13v30Ev");}
+void  S9645C1 ::v31(){vfunc_called(this, "_ZN7S9645C13v31Ev");}
+void  S9645C1 ::v32(){vfunc_called(this, "_ZN7S9645C13v32Ev");}
+void  S9645C1 ::v33(){vfunc_called(this, "_ZN7S9645C13v33Ev");}
+void  S9645C1 ::v34(){vfunc_called(this, "_ZN7S9645C13v34Ev");}
+S9645C1 ::S9645C1(){ note_ctor("S9645C1", this);} // tgen
+
+static void Test_S9645C1()
+{
+  extern Class_Descriptor cd_S9645C1;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(150,214)];
+    init_test(&cd_S9645C1, buf);
+    S9645C1 *dp, &lv = *(dp=new (buf) S9645C1());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(1192,852), "sizeof(S9645C1)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S9645C1)");
+    check_base_class_offset(lv, (S9645C2_nt*), 0, "S9645C1");
+    check_base_class_offset(lv, (S9645C4*)(S9645C3*), ABISELECT(8,4), "S9645C1");
+    check_base_class_offset(lv, (S9645C3*), ABISELECT(8,4), "S9645C1");
+    check_base_class_offset(lv, (S9645C40*)(S9645C39*), ABISELECT(840,636), "S9645C1");
+    check_base_class_offset(lv, (S9645C41*)(S9645C39*), ABISELECT(848,640), "S9645C1");
+    check_base_class_offset(lv, (S9645C42*)(S9645C39*), ABISELECT(856,644), "S9645C1");
+    check_base_class_offset(lv, (S9645C39*), ABISELECT(840,636), "S9645C1");
+    check_field_offset(lv, s9645c1f0, ABISELECT(1160,836), "S9645C1.s9645c1f0");
+    check_field_offset(lv, s9645c1f1, ABISELECT(1176,844), "S9645C1.s9645c1f1");
+    check_field_offset(lv, s9645c1f2, ABISELECT(1184,848), "S9645C1.s9645c1f2");
+    test_class_info(&lv, &cd_S9645C1);
+    dp->~S9645C1();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS9645C1(Test_S9645C1, "S9645C1", ABISELECT(1192,852));
+
+#else // __cplusplus
+
+extern void _ZN7S9645C1C1Ev();
+extern void _ZN7S9645C1D1Ev();
+Name_Map name_map_S9645C1[] = {
+  NSPAIR(_ZN7S9645C1C1Ev),
+  NSPAIR(_ZN7S9645C1D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S9645C2_nt;
+extern VTBL_ENTRY _ZTI10S9645C2_nt[];
+extern  VTBL_ENTRY _ZTV10S9645C2_nt[];
+extern Class_Descriptor cd_S9645C4;
+extern VTBL_ENTRY _ZTI7S9645C4[];
+extern  VTBL_ENTRY _ZTV7S9645C4[];
+extern Class_Descriptor cd_S9645C3;
+extern VTBL_ENTRY _ZTI7S9645C3[];
+extern  VTBL_ENTRY _ZTV7S9645C3[];
+extern Class_Descriptor cd_S9645C40;
+extern VTBL_ENTRY _ZTI8S9645C40[];
+extern  VTBL_ENTRY _ZTV8S9645C40[];
+extern Class_Descriptor cd_S9645C41;
+extern VTBL_ENTRY _ZTI8S9645C41[];
+extern  VTBL_ENTRY _ZTV8S9645C41[];
+extern Class_Descriptor cd_S9645C42;
+extern VTBL_ENTRY _ZTI8S9645C42[];
+extern  VTBL_ENTRY _ZTV8S9645C42[];
+extern Class_Descriptor cd_S9645C39;
+extern VTBL_ENTRY _ZTI8S9645C39[];
+extern  VTBL_ENTRY _ZTV8S9645C39[];
+static Base_Class bases_S9645C1[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S9645C2_nt,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {&cd_S9645C4,    ABISELECT(8,4), //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    2, //init_seq
+    2, //immediately_derived
+  0, 0},
+  {&cd_S9645C3,    ABISELECT(8,4), //bcp->offset
+    57, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    3, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {&cd_S9645C40,    ABISELECT(840,636), //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    4, //init_seq
+    6, //immediately_derived
+  0, 0},
+  {&cd_S9645C41,    ABISELECT(848,640), //bcp->offset
+    232, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    5, //init_seq
+    6, //immediately_derived
+  0, 0},
+  {&cd_S9645C42,    ABISELECT(856,644), //bcp->offset
+    278, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    6, //init_seq
+    6, //immediately_derived
+  0, 0},
+  {&cd_S9645C39,    ABISELECT(840,636), //bcp->offset
+    162, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    7, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI7S9645C1[];
+extern void _ZN7S9645C1D1Ev();
+extern void _ZN7S9645C1D0Ev();
+extern void _ZN10S9645C2_nt3v35Ev();
+extern void _ZN10S9645C2_nt3v36Ev();
+extern void _ZN10S9645C2_nt3v37Ev();
+extern void _ZN10S9645C2_nt3v38Ev();
+extern void _ZN10S9645C2_nt3v39Ev();
+extern void _ZN10S9645C2_nt3v40Ev();
+extern void _ZN10S9645C2_nt3v41Ev();
+extern void _ZN10S9645C2_nt3v42Ev();
+extern void _ZN10S9645C2_nt3v43Ev();
+extern void _ZN10S9645C2_nt3v44Ev();
+extern void _ZN10S9645C2_nt3v45Ev();
+extern void _ZN10S9645C2_nt3v46Ev();
+extern void _ZN10S9645C2_nt3v47Ev();
+extern void _ZN10S9645C2_nt3v48Ev();
+extern void _ZN10S9645C2_nt3v49Ev();
+extern void _ZN10S9645C2_nt3v50Ev();
+extern void _ZN10S9645C2_nt3v51Ev();
+extern void _ZN10S9645C2_nt3v52Ev();
+extern void _ZN10S9645C2_nt3v53Ev();
+extern void _ZN7S9645C12v1Ev();
+extern void _ZN7S9645C12v2Ev();
+extern void _ZN7S9645C12v3Ev();
+extern void _ZN7S9645C12v4Ev();
+extern void _ZN7S9645C12v5Ev();
+extern void _ZN7S9645C12v6Ev();
+extern void _ZN7S9645C12v7Ev();
+extern void _ZN7S9645C12v8Ev();
+extern void _ZN7S9645C12v9Ev();
+extern void _ZN7S9645C13v10Ev();
+extern void _ZN7S9645C13v11Ev();
+extern void _ZN7S9645C13v12Ev();
+extern void _ZN7S9645C13v13Ev();
+extern void _ZN7S9645C13v14Ev();
+extern void _ZN7S9645C13v15Ev();
+extern void _ZN7S9645C13v16Ev();
+extern void _ZN7S9645C13v17Ev();
+extern void _ZN7S9645C13v18Ev();
+extern void _ZN7S9645C13v19Ev();
+extern void _ZN7S9645C13v20Ev();
+extern void _ZN7S9645C13v21Ev();
+extern void _ZN7S9645C13v22Ev();
+extern void _ZN7S9645C13v23Ev();
+extern void _ZN7S9645C13v24Ev();
+extern void _ZN7S9645C13v25Ev();
+extern void _ZN7S9645C13v26Ev();
+extern void _ZN7S9645C13v27Ev();
+extern void _ZN7S9645C13v28Ev();
+extern void _ZN7S9645C13v29Ev();
+extern void _ZN7S9645C13v30Ev();
+extern void _ZN7S9645C13v31Ev();
+extern void _ZN7S9645C13v32Ev();
+extern void _ZN7S9645C13v33Ev();
+extern void _ZN7S9645C13v34Ev();
+extern void ABISELECT(_ZThn8_N7S9645C1D1Ev,_ZThn4_N7S9645C1D1Ev)();
+extern void ABISELECT(_ZThn8_N7S9645C1D0Ev,_ZThn4_N7S9645C1D0Ev)();
+extern void _ZN7S9645C43v54Ev();
+extern void _ZN7S9645C43v55Ev();
+extern void _ZN7S9645C43v56Ev();
+extern void _ZN7S9645C43v57Ev();
+extern void _ZN7S9645C43v58Ev();
+extern void _ZN7S9645C43v59Ev();
+extern void _ZN7S9645C43v60Ev();
+extern void _ZN7S9645C43v61Ev();
+extern void _ZN7S9645C43v62Ev();
+extern void _ZN7S9645C43v63Ev();
+extern void _ZN7S9645C43v64Ev();
+extern void _ZN7S9645C43v65Ev();
+extern void _ZN7S9645C43v66Ev();
+extern void _ZN7S9645C43v67Ev();
+extern void _ZN7S9645C43v68Ev();
+extern void _ZN7S9645C43v69Ev();
+extern void _ZN7S9645C43v70Ev();
+extern void _ZN7S9645C43v71Ev();
+extern void _ZN7S9645C43v72Ev();
+extern void _ZN7S9645C43v73Ev();
+extern void _ZN7S9645C43v74Ev();
+extern void _ZN7S9645C43v75Ev();
+extern void _ZN7S9645C43v76Ev();
+extern void _ZN7S9645C33v77Ev();
+extern void _ZN7S9645C33v78Ev();
+extern void _ZN7S9645C33v79Ev();
+extern void _ZN7S9645C33v80Ev();
+extern void _ZN7S9645C33v81Ev();
+extern void _ZN7S9645C33v82Ev();
+extern void _ZN7S9645C33v83Ev();
+extern void _ZN7S9645C33v84Ev();
+extern void _ZN7S9645C33v85Ev();
+extern void _ZN7S9645C33v86Ev();
+extern void _ZN7S9645C33v87Ev();
+extern void _ZN7S9645C33v88Ev();
+extern void _ZN7S9645C33v89Ev();
+extern void _ZN7S9645C33v90Ev();
+extern void _ZN7S9645C33v91Ev();
+extern void _ZN7S9645C33v92Ev();
+extern void _ZN7S9645C33v93Ev();
+extern void _ZN7S9645C33v94Ev();
+extern void _ZN7S9645C33v95Ev();
+extern void _ZN7S9645C33v96Ev();
+extern void _ZN7S9645C33v97Ev();
+extern void _ZN7S9645C33v98Ev();
+extern void _ZN7S9645C33v99Ev();
+extern void _ZN7S9645C34v100Ev();
+extern void _ZN7S9645C34v101Ev();
+extern void _ZN7S9645C34v102Ev();
+extern void _ZN7S9645C34v103Ev();
+extern void _ZN7S9645C34v104Ev();
+extern void _ZN7S9645C34v105Ev();
+extern void _ZN7S9645C34v106Ev();
+extern void _ZN7S9645C34v107Ev();
+extern void _ZN7S9645C34v108Ev();
+extern void _ZN7S9645C34v109Ev();
+extern void _ZN7S9645C34v110Ev();
+extern void _ZN7S9645C34v111Ev();
+extern void _ZN7S9645C34v112Ev();
+extern void _ZN7S9645C34v113Ev();
+extern void _ZN7S9645C34v114Ev();
+extern void _ZN7S9645C34v115Ev();
+extern void _ZN7S9645C34v116Ev();
+extern void _ZN7S9645C34v117Ev();
+extern void _ZN7S9645C34v118Ev();
+extern void _ZN7S9645C34v119Ev();
+extern void _ZN7S9645C34v120Ev();
+extern void _ZN7S9645C34v121Ev();
+extern void _ZN7S9645C34v122Ev();
+extern void _ZN7S9645C34v123Ev();
+extern void _ZN7S9645C34v124Ev();
+extern void _ZN7S9645C34v125Ev();
+extern void _ZN7S9645C34v126Ev();
+extern void _ZN7S9645C34v127Ev();
+extern void _ZN7S9645C34v128Ev();
+extern void _ZN7S9645C34v129Ev();
+extern void _ZN7S9645C34v130Ev();
+extern void _ZN7S9645C34v131Ev();
+extern void _ZN7S9645C34v132Ev();
+extern void _ZN7S9645C34v133Ev();
+extern void _ZN7S9645C34v134Ev();
+extern void _ZN7S9645C34v135Ev();
+extern void _ZN7S9645C34v136Ev();
+extern void _ZN7S9645C34v137Ev();
+extern void _ZN7S9645C34v138Ev();
+extern void _ZN7S9645C34v139Ev();
+extern void _ZN7S9645C34v140Ev();
+extern void _ZN7S9645C34v141Ev();
+extern void _ZN7S9645C34v142Ev();
+extern void _ZN7S9645C34v143Ev();
+extern void _ZN7S9645C34v144Ev();
+extern void _ZN7S9645C34v145Ev();
+extern void _ZN7S9645C34v146Ev();
+extern void _ZN7S9645C34v147Ev();
+extern void _ZN7S9645C34v148Ev();
+extern void _ZN7S9645C34v149Ev();
+extern void _ZN7S9645C34v150Ev();
+extern void _ZN7S9645C34v151Ev();
+extern void _ZN7S9645C34v152Ev();
+extern void _ZN7S9645C34v153Ev();
+extern void _ZN7S9645C34v154Ev();
+extern void ABISELECT(_ZThn840_N7S9645C1D1Ev,_ZThn636_N7S9645C1D1Ev)();
+extern void ABISELECT(_ZThn840_N7S9645C1D0Ev,_ZThn636_N7S9645C1D0Ev)();
+extern void _ZN8S9645C404v155Ev();
+extern void _ZN8S9645C404v156Ev();
+extern void _ZN8S9645C404v157Ev();
+extern void _ZN8S9645C404v158Ev();
+extern void _ZN8S9645C404v159Ev();
+extern void _ZN8S9645C404v160Ev();
+extern void _ZN8S9645C394v211Ev();
+extern void _ZN8S9645C394v212Ev();
+extern void _ZN8S9645C394v213Ev();
+extern void _ZN8S9645C394v214Ev();
+extern void _ZN8S9645C394v215Ev();
+extern void _ZN8S9645C394v216Ev();
+extern void _ZN8S9645C394v217Ev();
+extern void _ZN8S9645C394v218Ev();
+extern void _ZN8S9645C394v219Ev();
+extern void _ZN8S9645C394v220Ev();
+extern void _ZN8S9645C394v221Ev();
+extern void _ZN8S9645C394v222Ev();
+extern void _ZN8S9645C394v223Ev();
+extern void _ZN8S9645C394v224Ev();
+extern void _ZN8S9645C394v225Ev();
+extern void _ZN8S9645C394v226Ev();
+extern void _ZN8S9645C394v227Ev();
+extern void _ZN8S9645C394v228Ev();
+extern void _ZN8S9645C394v229Ev();
+extern void _ZN8S9645C394v230Ev();
+extern void _ZN8S9645C394v231Ev();
+extern void _ZN8S9645C394v232Ev();
+extern void _ZN8S9645C394v233Ev();
+extern void _ZN8S9645C394v234Ev();
+extern void _ZN8S9645C394v235Ev();
+extern void _ZN8S9645C394v236Ev();
+extern void _ZN8S9645C394v237Ev();
+extern void _ZN8S9645C394v238Ev();
+extern void _ZN8S9645C394v239Ev();
+extern void _ZN8S9645C394v240Ev();
+extern void _ZN8S9645C394v241Ev();
+extern void _ZN8S9645C394v242Ev();
+extern void _ZN8S9645C394v243Ev();
+extern void _ZN8S9645C394v244Ev();
+extern void _ZN8S9645C394v245Ev();
+extern void _ZN8S9645C394v246Ev();
+extern void _ZN8S9645C394v247Ev();
+extern void _ZN8S9645C394v248Ev();
+extern void _ZN8S9645C394v249Ev();
+extern void _ZN8S9645C394v250Ev();
+extern void _ZN8S9645C394v251Ev();
+extern void _ZN8S9645C394v252Ev();
+extern void _ZN8S9645C394v253Ev();
+extern void _ZN8S9645C394v254Ev();
+extern void _ZN8S9645C394v255Ev();
+extern void _ZN8S9645C394v256Ev();
+extern void _ZN8S9645C394v257Ev();
+extern void _ZN8S9645C394v258Ev();
+extern void _ZN8S9645C394v259Ev();
+extern void _ZN8S9645C394v260Ev();
+extern void _ZN8S9645C394v261Ev();
+extern void _ZN8S9645C394v262Ev();
+extern void _ZN8S9645C394v263Ev();
+extern void _ZN8S9645C394v264Ev();
+extern void _ZN8S9645C394v265Ev();
+extern void _ZN8S9645C394v266Ev();
+extern void _ZN8S9645C394v267Ev();
+extern void _ZN8S9645C394v268Ev();
+extern void _ZN8S9645C394v269Ev();
+extern void _ZN8S9645C394v270Ev();
+extern void ABISELECT(_ZThn848_N7S9645C1D1Ev,_ZThn640_N7S9645C1D1Ev)();
+extern void ABISELECT(_ZThn848_N7S9645C1D0Ev,_ZThn640_N7S9645C1D0Ev)();
+extern void _ZN8S9645C414v161Ev();
+extern void _ZN8S9645C414v162Ev();
+extern void _ZN8S9645C414v163Ev();
+extern void _ZN8S9645C414v164Ev();
+extern void _ZN8S9645C414v165Ev();
+extern void _ZN8S9645C414v166Ev();
+extern void _ZN8S9645C414v167Ev();
+extern void _ZN8S9645C414v168Ev();
+extern void _ZN8S9645C414v169Ev();
+extern void _ZN8S9645C414v170Ev();
+extern void _ZN8S9645C414v171Ev();
+extern void _ZN8S9645C414v172Ev();
+extern void _ZN8S9645C414v173Ev();
+extern void _ZN8S9645C414v174Ev();
+extern void _ZN8S9645C414v175Ev();
+extern void _ZN8S9645C414v176Ev();
+extern void _ZN8S9645C414v177Ev();
+extern void _ZN8S9645C414v178Ev();
+extern void _ZN8S9645C414v179Ev();
+extern void _ZN8S9645C414v180Ev();
+extern void _ZN8S9645C414v181Ev();
+extern void _ZN8S9645C414v182Ev();
+extern void _ZN8S9645C414v183Ev();
+extern void _ZN8S9645C414v184Ev();
+extern void _ZN8S9645C414v185Ev();
+extern void _ZN8S9645C414v186Ev();
+extern void _ZN8S9645C414v187Ev();
+extern void _ZN8S9645C414v188Ev();
+extern void _ZN8S9645C414v189Ev();
+extern void _ZN8S9645C414v190Ev();
+extern void _ZN8S9645C414v191Ev();
+extern void _ZN8S9645C414v192Ev();
+extern void _ZN8S9645C414v193Ev();
+extern void _ZN8S9645C414v194Ev();
+extern void _ZN8S9645C414v195Ev();
+extern void _ZN8S9645C414v196Ev();
+extern void _ZN8S9645C414v197Ev();
+extern void _ZN8S9645C414v198Ev();
+extern void _ZN8S9645C414v199Ev();
+extern void _ZN8S9645C414v200Ev();
+extern void _ZN8S9645C414v201Ev();
+extern void _ZN8S9645C414v202Ev();
+extern void ABISELECT(_ZThn856_N7S9645C1D1Ev,_ZThn644_N7S9645C1D1Ev)();
+extern void ABISELECT(_ZThn856_N7S9645C1D0Ev,_ZThn644_N7S9645C1D0Ev)();
+extern void _ZN8S9645C424v203Ev();
+extern void _ZN8S9645C424v204Ev();
+extern void _ZN8S9645C424v205Ev();
+extern void _ZN8S9645C424v206Ev();
+extern void _ZN8S9645C424v207Ev();
+extern void _ZN8S9645C424v208Ev();
+extern void _ZN8S9645C424v209Ev();
+extern void _ZN8S9645C424v210Ev();
+static  VTBL_ENTRY vtc_S9645C1[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI7S9645C1[0]),
+  (VTBL_ENTRY)&_ZN7S9645C1D1Ev,
+  (VTBL_ENTRY)&_ZN7S9645C1D0Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v35Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v36Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v37Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v38Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v39Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v40Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v41Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v42Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v43Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v44Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v45Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v46Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v47Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v48Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v49Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v50Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v51Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v52Ev,
+  (VTBL_ENTRY)&_ZN10S9645C2_nt3v53Ev,
+  (VTBL_ENTRY)&_ZN7S9645C12v1Ev,
+  (VTBL_ENTRY)&_ZN7S9645C12v2Ev,
+  (VTBL_ENTRY)&_ZN7S9645C12v3Ev,
+  (VTBL_ENTRY)&_ZN7S9645C12v4Ev,
+  (VTBL_ENTRY)&_ZN7S9645C12v5Ev,
+  (VTBL_ENTRY)&_ZN7S9645C12v6Ev,
+  (VTBL_ENTRY)&_ZN7S9645C12v7Ev,
+  (VTBL_ENTRY)&_ZN7S9645C12v8Ev,
+  (VTBL_ENTRY)&_ZN7S9645C12v9Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v10Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v11Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v12Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v13Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v14Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v15Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v16Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v17Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v18Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v19Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v20Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v21Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v22Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v23Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v24Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v25Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v26Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v27Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v28Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v29Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v30Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v31Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v32Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v33Ev,
+  (VTBL_ENTRY)&_ZN7S9645C13v34Ev,
+  ABISELECT(-8,-4),
+  (VTBL_ENTRY)&(_ZTI7S9645C1[0]),
+  (VTBL_ENTRY)&ABISELECT(_ZThn8_N7S9645C1D1Ev,_ZThn4_N7S9645C1D1Ev),
+  (VTBL_ENTRY)&ABISELECT(_ZThn8_N7S9645C1D0Ev,_ZThn4_N7S9645C1D0Ev),
+  (VTBL_ENTRY)&_ZN7S9645C43v54Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v55Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v56Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v57Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v58Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v59Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v60Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v61Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v62Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v63Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v64Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v65Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v66Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v67Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v68Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v69Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v70Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v71Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v72Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v73Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v74Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v75Ev,
+  (VTBL_ENTRY)&_ZN7S9645C43v76Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v77Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v78Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v79Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v80Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v81Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v82Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v83Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v84Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v85Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v86Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v87Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v88Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v89Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v90Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v91Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v92Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v93Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v94Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v95Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v96Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v97Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v98Ev,
+  (VTBL_ENTRY)&_ZN7S9645C33v99Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v100Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v101Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v102Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v103Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v104Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v105Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v106Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v107Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v108Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v109Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v110Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v111Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v112Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v113Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v114Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v115Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v116Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v117Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v118Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v119Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v120Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v121Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v122Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v123Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v124Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v125Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v126Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v127Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v128Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v129Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v130Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v131Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v132Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v133Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v134Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v135Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v136Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v137Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v138Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v139Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v140Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v141Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v142Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v143Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v144Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v145Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v146Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v147Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v148Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v149Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v150Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v151Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v152Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v153Ev,
+  (VTBL_ENTRY)&_ZN7S9645C34v154Ev,
+  ABISELECT(-840,-636),
+  (VTBL_ENTRY)&(_ZTI7S9645C1[0]),
+  (VTBL_ENTRY)&ABISELECT(_ZThn840_N7S9645C1D1Ev,_ZThn636_N7S9645C1D1Ev),
+  (VTBL_ENTRY)&ABISELECT(_ZThn840_N7S9645C1D0Ev,_ZThn636_N7S9645C1D0Ev),
+  (VTBL_ENTRY)&_ZN8S9645C404v155Ev,
+  (VTBL_ENTRY)&_ZN8S9645C404v156Ev,
+  (VTBL_ENTRY)&_ZN8S9645C404v157Ev,
+  (VTBL_ENTRY)&_ZN8S9645C404v158Ev,
+  (VTBL_ENTRY)&_ZN8S9645C404v159Ev,
+  (VTBL_ENTRY)&_ZN8S9645C404v160Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v211Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v212Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v213Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v214Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v215Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v216Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v217Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v218Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v219Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v220Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v221Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v222Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v223Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v224Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v225Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v226Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v227Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v228Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v229Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v230Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v231Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v232Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v233Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v234Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v235Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v236Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v237Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v238Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v239Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v240Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v241Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v242Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v243Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v244Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v245Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v246Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v247Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v248Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v249Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v250Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v251Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v252Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v253Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v254Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v255Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v256Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v257Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v258Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v259Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v260Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v261Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v262Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v263Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v264Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v265Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v266Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v267Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v268Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v269Ev,
+  (VTBL_ENTRY)&_ZN8S9645C394v270Ev,
+  ABISELECT(-848,-640),
+  (VTBL_ENTRY)&(_ZTI7S9645C1[0]),
+  (VTBL_ENTRY)&ABISELECT(_ZThn848_N7S9645C1D1Ev,_ZThn640_N7S9645C1D1Ev),
+  (VTBL_ENTRY)&ABISELECT(_ZThn848_N7S9645C1D0Ev,_ZThn640_N7S9645C1D0Ev),
+  (VTBL_ENTRY)&_ZN8S9645C414v161Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v162Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v163Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v164Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v165Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v166Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v167Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v168Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v169Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v170Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v171Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v172Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v173Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v174Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v175Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v176Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v177Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v178Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v179Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v180Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v181Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v182Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v183Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v184Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v185Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v186Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v187Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v188Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v189Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v190Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v191Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v192Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v193Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v194Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v195Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v196Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v197Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v198Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v199Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v200Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v201Ev,
+  (VTBL_ENTRY)&_ZN8S9645C414v202Ev,
+  ABISELECT(-856,-644),
+  (VTBL_ENTRY)&(_ZTI7S9645C1[0]),
+  (VTBL_ENTRY)&ABISELECT(_ZThn856_N7S9645C1D1Ev,_ZThn644_N7S9645C1D1Ev),
+  (VTBL_ENTRY)&ABISELECT(_ZThn856_N7S9645C1D0Ev,_ZThn644_N7S9645C1D0Ev),
+  (VTBL_ENTRY)&_ZN8S9645C424v203Ev,
+  (VTBL_ENTRY)&_ZN8S9645C424v204Ev,
+  (VTBL_ENTRY)&_ZN8S9645C424v205Ev,
+  (VTBL_ENTRY)&_ZN8S9645C424v206Ev,
+  (VTBL_ENTRY)&_ZN8S9645C424v207Ev,
+  (VTBL_ENTRY)&_ZN8S9645C424v208Ev,
+  (VTBL_ENTRY)&_ZN8S9645C424v209Ev,
+  (VTBL_ENTRY)&_ZN8S9645C424v210Ev,
+};
+extern VTBL_ENTRY _ZTI7S9645C1[];
+extern  VTBL_ENTRY _ZTV7S9645C1[];
+Class_Descriptor cd_S9645C1 = {  "S9645C1", // class name
+  bases_S9645C1, 7,
+  &(vtc_S9645C1[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(1192,852), // object size
+  NSPAIRA(_ZTI7S9645C1),ABISELECT(72,40), //typeinfo_var
+  NSPAIRA(_ZTV7S9645C1),290, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  7, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S369C6  {
+  void *s369c6f0;
+  int s369c6f1;
+  ::S938C45 s369c6f2;
+  ::S938C26 s369c6f3;
+  int s369c6f4;
+  virtual  ~S369C6(); // _ZN6S369C6D1Ev
+  virtual void  v3(); // _ZN6S369C62v3Ev
+  virtual void  v4(); // _ZN6S369C62v4Ev
+  virtual void  v5(); // _ZN6S369C62v5Ev
+  virtual void  v6(); // _ZN6S369C62v6Ev
+  virtual void  v7(); // _ZN6S369C62v7Ev
+  virtual void  v8(); // _ZN6S369C62v8Ev
+  virtual void  v9(); // _ZN6S369C62v9Ev
+  virtual void  v10(); // _ZN6S369C63v10Ev
+  virtual void  v11(); // _ZN6S369C63v11Ev
+  virtual void  v12(); // _ZN6S369C63v12Ev
+  virtual void  v13(); // _ZN6S369C63v13Ev
+  virtual void  v14(); // _ZN6S369C63v14Ev
+  virtual void  v15(); // _ZN6S369C63v15Ev
+  virtual void  v16(); // _ZN6S369C63v16Ev
+  virtual void  v17(); // _ZN6S369C63v17Ev
+  virtual void  v18(); // _ZN6S369C63v18Ev
+  virtual void  v19(); // _ZN6S369C63v19Ev
+  virtual void  v20(); // _ZN6S369C63v20Ev
+  virtual void  v21(); // _ZN6S369C63v21Ev
+  virtual void  v22(); // _ZN6S369C63v22Ev
+  virtual void  v23(); // _ZN6S369C63v23Ev
+  virtual void  v24(); // _ZN6S369C63v24Ev
+  virtual void  v25(); // _ZN6S369C63v25Ev
+  S369C6(); // tgen
+};
+//SIG(-1 S369C6) C1{ vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 Fp Fi FC2{ BC3{ m Fp Fi FC4{ m} FC5{ m}}} FC6{ BC7{ m Fp Fi FC8{ m} FC9{ m}}} Fi}
+
+
+ S369C6 ::~S369C6(){ note_dtor("S369C6", this);}
+void  S369C6 ::v3(){vfunc_called(this, "_ZN6S369C62v3Ev");}
+void  S369C6 ::v4(){vfunc_called(this, "_ZN6S369C62v4Ev");}
+void  S369C6 ::v5(){vfunc_called(this, "_ZN6S369C62v5Ev");}
+void  S369C6 ::v6(){vfunc_called(this, "_ZN6S369C62v6Ev");}
+void  S369C6 ::v7(){vfunc_called(this, "_ZN6S369C62v7Ev");}
+void  S369C6 ::v8(){vfunc_called(this, "_ZN6S369C62v8Ev");}
+void  S369C6 ::v9(){vfunc_called(this, "_ZN6S369C62v9Ev");}
+void  S369C6 ::v10(){vfunc_called(this, "_ZN6S369C63v10Ev");}
+void  S369C6 ::v11(){vfunc_called(this, "_ZN6S369C63v11Ev");}
+void  S369C6 ::v12(){vfunc_called(this, "_ZN6S369C63v12Ev");}
+void  S369C6 ::v13(){vfunc_called(this, "_ZN6S369C63v13Ev");}
+void  S369C6 ::v14(){vfunc_called(this, "_ZN6S369C63v14Ev");}
+void  S369C6 ::v15(){vfunc_called(this, "_ZN6S369C63v15Ev");}
+void  S369C6 ::v16(){vfunc_called(this, "_ZN6S369C63v16Ev");}
+void  S369C6 ::v17(){vfunc_called(this, "_ZN6S369C63v17Ev");}
+void  S369C6 ::v18(){vfunc_called(this, "_ZN6S369C63v18Ev");}
+void  S369C6 ::v19(){vfunc_called(this, "_ZN6S369C63v19Ev");}
+void  S369C6 ::v20(){vfunc_called(this, "_ZN6S369C63v20Ev");}
+void  S369C6 ::v21(){vfunc_called(this, "_ZN6S369C63v21Ev");}
+void  S369C6 ::v22(){vfunc_called(this, "_ZN6S369C63v22Ev");}
+void  S369C6 ::v23(){vfunc_called(this, "_ZN6S369C63v23Ev");}
+void  S369C6 ::v24(){vfunc_called(this, "_ZN6S369C63v24Ev");}
+void  S369C6 ::v25(){vfunc_called(this, "_ZN6S369C63v25Ev");}
+S369C6 ::S369C6(){ note_ctor("S369C6", this);} // tgen
+
+static void Test_S369C6()
+{
+  extern Class_Descriptor cd_S369C6;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(9,11)];
+    init_test(&cd_S369C6, buf);
+    S369C6 *dp, &lv = *(dp=new (buf) S369C6());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(64,40), "sizeof(S369C6)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S369C6)");
+    check_field_offset(lv, s369c6f0, ABISELECT(8,4), "S369C6.s369c6f0");
+    check_field_offset(lv, s369c6f1, ABISELECT(16,8), "S369C6.s369c6f1");
+    check_field_offset(lv, s369c6f2, ABISELECT(24,12), "S369C6.s369c6f2");
+    check_field_offset(lv, s369c6f3, ABISELECT(40,24), "S369C6.s369c6f3");
+    check_field_offset(lv, s369c6f4, ABISELECT(56,36), "S369C6.s369c6f4");
+    test_class_info(&lv, &cd_S369C6);
+    dp->~S369C6();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS369C6(Test_S369C6, "S369C6", ABISELECT(64,40));
+
+#else // __cplusplus
+
+extern void _ZN6S369C6C1Ev();
+extern void _ZN6S369C6D1Ev();
+Name_Map name_map_S369C6[] = {
+  NSPAIR(_ZN6S369C6C1Ev),
+  NSPAIR(_ZN6S369C6D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI6S369C6[];
+extern void _ZN6S369C6D1Ev();
+extern void _ZN6S369C6D0Ev();
+extern void _ZN6S369C62v3Ev();
+extern void _ZN6S369C62v4Ev();
+extern void _ZN6S369C62v5Ev();
+extern void _ZN6S369C62v6Ev();
+extern void _ZN6S369C62v7Ev();
+extern void _ZN6S369C62v8Ev();
+extern void _ZN6S369C62v9Ev();
+extern void _ZN6S369C63v10Ev();
+extern void _ZN6S369C63v11Ev();
+extern void _ZN6S369C63v12Ev();
+extern void _ZN6S369C63v13Ev();
+extern void _ZN6S369C63v14Ev();
+extern void _ZN6S369C63v15Ev();
+extern void _ZN6S369C63v16Ev();
+extern void _ZN6S369C63v17Ev();
+extern void _ZN6S369C63v18Ev();
+extern void _ZN6S369C63v19Ev();
+extern void _ZN6S369C63v20Ev();
+extern void _ZN6S369C63v21Ev();
+extern void _ZN6S369C63v22Ev();
+extern void _ZN6S369C63v23Ev();
+extern void _ZN6S369C63v24Ev();
+extern void _ZN6S369C63v25Ev();
+static  VTBL_ENTRY vtc_S369C6[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S369C6[0]),
+  (VTBL_ENTRY)&_ZN6S369C6D1Ev,
+  (VTBL_ENTRY)&_ZN6S369C6D0Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v3Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v4Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v5Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v6Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v7Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v8Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v9Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v10Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v11Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v12Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v13Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v14Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v15Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v16Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v17Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v18Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v19Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v20Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v21Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v22Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v23Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v24Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v25Ev,
+};
+extern VTBL_ENTRY _ZTI6S369C6[];
+extern  VTBL_ENTRY _ZTV6S369C6[];
+Class_Descriptor cd_S369C6 = {  "S369C6", // class name
+  0,0,//no base classes
+  &(vtc_S369C6[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(64,40), // object size
+  NSPAIRA(_ZTI6S369C6),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV6S369C6),27, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S369C5  : S369C6 {
+  ::S938C60 s369c5f0;
+  ::S938C60 s369c5f1;
+  ::S938C60 s369c5f2;
+  ::S938C14_nt s369c5f3;
+  ::S938C18 s369c5f4;
+  ::S938C19_nt s369c5f5;
+  ::S938C19_nt s369c5f6;
+  ::S938C60 s369c5f7;
+  ::S938C60 s369c5f8;
+  ::S938C60 s369c5f9;
+  void *s369c5f10;
+  ::S938C18 s369c5f11;
+  ::S938C20_nt s369c5f12;
+  int s369c5f13;
+  void *s369c5f14[7];
+  ::S938C66 s369c5f15;
+  int s369c5f16:8;
+  int s369c5f17:8;
+  int s369c5f18:16;
+  int s369c5f19:16;
+  int s369c5f20:8;
+  int s369c5f21:8;
+  int s369c5f22:8;
+  int s369c5f23:32;
+  int s369c5f24:8;
+  int s369c5f25:4;
+  int s369c5f26:4;
+  int s369c5f27:4;
+  int s369c5f28:4;
+  int s369c5f29:4;
+  int s369c5f30:4;
+  int s369c5f31:4;
+  int s369c5f32:4;
+  int s369c5f33:4;
+  int s369c5f34:4;
+  unsigned char s369c5f35:1;
+  unsigned char s369c5f36:1;
+  unsigned char s369c5f37:1;
+  unsigned char s369c5f38:1;
+  unsigned char s369c5f39:1;
+  unsigned char s369c5f40:1;
+  unsigned char s369c5f41:1;
+  unsigned char s369c5f42:1;
+  unsigned char s369c5f43:1;
+  unsigned char s369c5f44:1;
+  unsigned char s369c5f45:1;
+  unsigned char s369c5f46:1;
+  unsigned char s369c5f47:1;
+  unsigned char s369c5f48:1;
+  unsigned char s369c5f49:1;
+  unsigned char s369c5f50:1;
+  unsigned char s369c5f51:1;
+  unsigned char s369c5f52:1;
+  unsigned char s369c5f53:1;
+  unsigned char s369c5f54:1;
+  unsigned char s369c5f55:1;
+  unsigned char s369c5f56:1;
+  unsigned char s369c5f57:1;
+  unsigned char s369c5f58:1;
+  unsigned char s369c5f59:1;
+  unsigned char s369c5f60:1;
+  unsigned char s369c5f61:1;
+  unsigned char s369c5f62:1;
+  unsigned char s369c5f63:1;
+  unsigned char s369c5f64:1;
+  unsigned char s369c5f65:1;
+  unsigned char s369c5f66:1;
+  unsigned char s369c5f67:1;
+  unsigned char s369c5f68:1;
+  unsigned char s369c5f69:1;
+  unsigned char s369c5f70:1;
+  unsigned char s369c5f71:1;
+  unsigned char s369c5f72:1;
+  unsigned char s369c5f73:1;
+  unsigned char s369c5f74:1;
+  unsigned char s369c5f75:1;
+  int s369c5f76[7];
+  void *s369c5f77[6];
+  int s369c5f78:8;
+  int s369c5f79:8;
+  int s369c5f80:8;
+  int s369c5f81:8;
+  int s369c5f82:8;
+  int s369c5f83:8;
+  ::S938C6_nt s369c5f84;
+  void *s369c5f85[4];
+  int s369c5f86:8;
+  int s369c5f87:8;
+  int s369c5f88:8;
+  int s369c5f89:8;
+  int s369c5f90:8;
+  int s369c5f91:16;
+  int s369c5f92:16;
+  int s369c5f93:16;
+  int s369c5f94:4;
+  int s369c5f95:4;
+  int s369c5f96:4;
+  int s369c5f97:4;
+  int s369c5f98:4;
+  int s369c5f99:4;
+  int s369c5f100:4;
+  int s369c5f101:4;
+  int s369c5f102:4;
+  int s369c5f103:4;
+  int s369c5f104:4;
+  int s369c5f105:4;
+  int s369c5f106:8;
+  int s369c5f107:8;
+  int s369c5f108:8;
+  void *s369c5f109[7];
+  ::S938C38 s369c5f110;
+  void *s369c5f111[2];
+  int s369c5f112:16;
+  int s369c5f113:16;
+  ::S928C45 s369c5f114;
+  ::S938C30_nt s369c5f115;
+  ::S929C68 s369c5f116;
+  void *s369c5f117[2];
+  int s369c5f118:24;
+  int s369c5f119:8;
+  void *s369c5f120;
+  virtual  ~S369C5(); // _ZN6S369C5D1Ev
+  virtual void  v26(); // _ZN6S369C53v26Ev
+  virtual void  v27(); // _ZN6S369C53v27Ev
+  virtual void  v28(); // _ZN6S369C53v28Ev
+  virtual void  v29(); // _ZN6S369C53v29Ev
+  virtual void  v30(); // _ZN6S369C53v30Ev
+  virtual void  v31(); // _ZN6S369C53v31Ev
+  virtual void  v32(); // _ZN6S369C53v32Ev
+  virtual void  v33(); // _ZN6S369C53v33Ev
+  virtual void  v34(); // _ZN6S369C53v34Ev
+  virtual void  v35(); // _ZN6S369C53v35Ev
+  virtual void  v36(); // _ZN6S369C53v36Ev
+  virtual void  v37(); // _ZN6S369C53v37Ev
+  virtual void  v38(); // _ZN6S369C53v38Ev
+  virtual void  v39(); // _ZN6S369C53v39Ev
+  virtual void  v40(); // _ZN6S369C53v40Ev
+  virtual void  v41(); // _ZN6S369C53v41Ev
+  virtual void  v42(); // _ZN6S369C53v42Ev
+  virtual void  v43(); // _ZN6S369C53v43Ev
+  virtual void  v44(); // _ZN6S369C53v44Ev
+  virtual void  v45(); // _ZN6S369C53v45Ev
+  virtual void  v46(); // _ZN6S369C53v46Ev
+  virtual void  v47(); // _ZN6S369C53v47Ev
+  virtual void  v48(); // _ZN6S369C53v48Ev
+  virtual void  v49(); // _ZN6S369C53v49Ev
+  virtual void  v50(); // _ZN6S369C53v50Ev
+  virtual void  v51(); // _ZN6S369C53v51Ev
+  virtual void  v52(); // _ZN6S369C53v52Ev
+  virtual void  v53(); // _ZN6S369C53v53Ev
+  virtual void  v54(); // _ZN6S369C53v54Ev
+  virtual void  v55(); // _ZN6S369C53v55Ev
+  virtual void  v56(); // _ZN6S369C53v56Ev
+  virtual void  v57(); // _ZN6S369C53v57Ev
+  virtual void  v58(); // _ZN6S369C53v58Ev
+  virtual void  v59(); // _ZN6S369C53v59Ev
+  virtual void  v60(); // _ZN6S369C53v60Ev
+  virtual void  v61(); // _ZN6S369C53v61Ev
+  virtual void  v62(); // _ZN6S369C53v62Ev
+  virtual void  v63(); // _ZN6S369C53v63Ev
+  virtual void  v64(); // _ZN6S369C53v64Ev
+  virtual void  v65(); // _ZN6S369C53v65Ev
+  virtual void  v66(); // _ZN6S369C53v66Ev
+  virtual void  v67(); // _ZN6S369C53v67Ev
+  virtual void  v68(); // _ZN6S369C53v68Ev
+  virtual void  v69(); // _ZN6S369C53v69Ev
+  virtual void  v70(); // _ZN6S369C53v70Ev
+  virtual void  v71(); // _ZN6S369C53v71Ev
+  virtual void  v72(); // _ZN6S369C53v72Ev
+  virtual void  v73(); // _ZN6S369C53v73Ev
+  virtual void  v74(); // _ZN6S369C53v74Ev
+  virtual void  v75(); // _ZN6S369C53v75Ev
+  virtual void  v76(); // _ZN6S369C53v76Ev
+  virtual void  v77(); // _ZN6S369C53v77Ev
+  virtual void  v78(); // _ZN6S369C53v78Ev
+  virtual void  v79(); // _ZN6S369C53v79Ev
+  virtual void  v80(); // _ZN6S369C53v80Ev
+  virtual void  v81(); // _ZN6S369C53v81Ev
+  virtual void  v82(); // _ZN6S369C53v82Ev
+  virtual void  v83(); // _ZN6S369C53v83Ev
+  virtual void  v84(); // _ZN6S369C53v84Ev
+  virtual void  v85(); // _ZN6S369C53v85Ev
+  virtual void  v86(); // _ZN6S369C53v86Ev
+  virtual void  v87(); // _ZN6S369C53v87Ev
+  virtual void  v88(); // _ZN6S369C53v88Ev
+  virtual void  v89(); // _ZN6S369C53v89Ev
+  virtual void  v90(); // _ZN6S369C53v90Ev
+  virtual void  v91(); // _ZN6S369C53v91Ev
+  virtual void  v92(); // _ZN6S369C53v92Ev
+  virtual void  v93(); // _ZN6S369C53v93Ev
+  virtual void  v94(); // _ZN6S369C53v94Ev
+  virtual void  v95(); // _ZN6S369C53v95Ev
+  virtual void  v96(); // _ZN6S369C53v96Ev
+  virtual void  v97(); // _ZN6S369C53v97Ev
+  virtual void  v98(); // _ZN6S369C53v98Ev
+  virtual void  v99(); // _ZN6S369C53v99Ev
+  virtual void  v100(); // _ZN6S369C54v100Ev
+  virtual void  v101(); // _ZN6S369C54v101Ev
+  virtual void  v102(); // _ZN6S369C54v102Ev
+  virtual void  v103(); // _ZN6S369C54v103Ev
+  S369C5(); // tgen
+};
+//SIG(-1 S369C5) C1{ BC2{ vd v79 v80 v81 v82 v83 v84 v85 v86 v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 Fp Fi FC3{ BC4{ m Fp Fi FC5{ m} FC6{ m}}} FC7{ BC8{ m Fp Fi FC9{ m} FC10{ m}}} Fi} vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53 v54 v55 v56 v57 v58 v59 v60 v61 v62 v63 v64 v65 v66 v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 v78 FC11{ m FC12{ m FL[2]}} FC11 FC11 FC13{ m FC12} FC14{ m FC13} FC15{ m FC13 FC13 FC13 FC13} FC15 FC11 FC11 FC11 Fp FC14 FC16{ m FC17{ m Fp Fi FC18{ m}} Fi} Fi Fp[7] FC19{ m Fp Fi FC6 FC20{ m}} Fi:8 Fi:8 Fi:16 Fi:16 Fi:8 Fi:8 Fi:8 Fi:32 Fi:8 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 F!
 c:1 Fc:1 
 Fc:1 Fc:1 Fi[7] Fp[6] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 FC21{ BC22{ m Fp Fi FC23{ m} FC23}} Fp[4] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:16 Fi:16 Fi:16 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:8 Fi:8 Fi:8 Fp[7] FC24{ m Fp Fi[2] FC5} Fp[2] Fi:16 Fi:16 FC25{ BC8} FC26{ m Fp Fi[2] FC10} FC27{ m Fp Fi[2] FC20} Fp[2] Fi:24 Fi:8 Fp}
+
+
+ S369C5 ::~S369C5(){ note_dtor("S369C5", this);}
+void  S369C5 ::v26(){vfunc_called(this, "_ZN6S369C53v26Ev");}
+void  S369C5 ::v27(){vfunc_called(this, "_ZN6S369C53v27Ev");}
+void  S369C5 ::v28(){vfunc_called(this, "_ZN6S369C53v28Ev");}
+void  S369C5 ::v29(){vfunc_called(this, "_ZN6S369C53v29Ev");}
+void  S369C5 ::v30(){vfunc_called(this, "_ZN6S369C53v30Ev");}
+void  S369C5 ::v31(){vfunc_called(this, "_ZN6S369C53v31Ev");}
+void  S369C5 ::v32(){vfunc_called(this, "_ZN6S369C53v32Ev");}
+void  S369C5 ::v33(){vfunc_called(this, "_ZN6S369C53v33Ev");}
+void  S369C5 ::v34(){vfunc_called(this, "_ZN6S369C53v34Ev");}
+void  S369C5 ::v35(){vfunc_called(this, "_ZN6S369C53v35Ev");}
+void  S369C5 ::v36(){vfunc_called(this, "_ZN6S369C53v36Ev");}
+void  S369C5 ::v37(){vfunc_called(this, "_ZN6S369C53v37Ev");}
+void  S369C5 ::v38(){vfunc_called(this, "_ZN6S369C53v38Ev");}
+void  S369C5 ::v39(){vfunc_called(this, "_ZN6S369C53v39Ev");}
+void  S369C5 ::v40(){vfunc_called(this, "_ZN6S369C53v40Ev");}
+void  S369C5 ::v41(){vfunc_called(this, "_ZN6S369C53v41Ev");}
+void  S369C5 ::v42(){vfunc_called(this, "_ZN6S369C53v42Ev");}
+void  S369C5 ::v43(){vfunc_called(this, "_ZN6S369C53v43Ev");}
+void  S369C5 ::v44(){vfunc_called(this, "_ZN6S369C53v44Ev");}
+void  S369C5 ::v45(){vfunc_called(this, "_ZN6S369C53v45Ev");}
+void  S369C5 ::v46(){vfunc_called(this, "_ZN6S369C53v46Ev");}
+void  S369C5 ::v47(){vfunc_called(this, "_ZN6S369C53v47Ev");}
+void  S369C5 ::v48(){vfunc_called(this, "_ZN6S369C53v48Ev");}
+void  S369C5 ::v49(){vfunc_called(this, "_ZN6S369C53v49Ev");}
+void  S369C5 ::v50(){vfunc_called(this, "_ZN6S369C53v50Ev");}
+void  S369C5 ::v51(){vfunc_called(this, "_ZN6S369C53v51Ev");}
+void  S369C5 ::v52(){vfunc_called(this, "_ZN6S369C53v52Ev");}
+void  S369C5 ::v53(){vfunc_called(this, "_ZN6S369C53v53Ev");}
+void  S369C5 ::v54(){vfunc_called(this, "_ZN6S369C53v54Ev");}
+void  S369C5 ::v55(){vfunc_called(this, "_ZN6S369C53v55Ev");}
+void  S369C5 ::v56(){vfunc_called(this, "_ZN6S369C53v56Ev");}
+void  S369C5 ::v57(){vfunc_called(this, "_ZN6S369C53v57Ev");}
+void  S369C5 ::v58(){vfunc_called(this, "_ZN6S369C53v58Ev");}
+void  S369C5 ::v59(){vfunc_called(this, "_ZN6S369C53v59Ev");}
+void  S369C5 ::v60(){vfunc_called(this, "_ZN6S369C53v60Ev");}
+void  S369C5 ::v61(){vfunc_called(this, "_ZN6S369C53v61Ev");}
+void  S369C5 ::v62(){vfunc_called(this, "_ZN6S369C53v62Ev");}
+void  S369C5 ::v63(){vfunc_called(this, "_ZN6S369C53v63Ev");}
+void  S369C5 ::v64(){vfunc_called(this, "_ZN6S369C53v64Ev");}
+void  S369C5 ::v65(){vfunc_called(this, "_ZN6S369C53v65Ev");}
+void  S369C5 ::v66(){vfunc_called(this, "_ZN6S369C53v66Ev");}
+void  S369C5 ::v67(){vfunc_called(this, "_ZN6S369C53v67Ev");}
+void  S369C5 ::v68(){vfunc_called(this, "_ZN6S369C53v68Ev");}
+void  S369C5 ::v69(){vfunc_called(this, "_ZN6S369C53v69Ev");}
+void  S369C5 ::v70(){vfunc_called(this, "_ZN6S369C53v70Ev");}
+void  S369C5 ::v71(){vfunc_called(this, "_ZN6S369C53v71Ev");}
+void  S369C5 ::v72(){vfunc_called(this, "_ZN6S369C53v72Ev");}
+void  S369C5 ::v73(){vfunc_called(this, "_ZN6S369C53v73Ev");}
+void  S369C5 ::v74(){vfunc_called(this, "_ZN6S369C53v74Ev");}
+void  S369C5 ::v75(){vfunc_called(this, "_ZN6S369C53v75Ev");}
+void  S369C5 ::v76(){vfunc_called(this, "_ZN6S369C53v76Ev");}
+void  S369C5 ::v77(){vfunc_called(this, "_ZN6S369C53v77Ev");}
+void  S369C5 ::v78(){vfunc_called(this, "_ZN6S369C53v78Ev");}
+void  S369C5 ::v79(){vfunc_called(this, "_ZN6S369C53v79Ev");}
+void  S369C5 ::v80(){vfunc_called(this, "_ZN6S369C53v80Ev");}
+void  S369C5 ::v81(){vfunc_called(this, "_ZN6S369C53v81Ev");}
+void  S369C5 ::v82(){vfunc_called(this, "_ZN6S369C53v82Ev");}
+void  S369C5 ::v83(){vfunc_called(this, "_ZN6S369C53v83Ev");}
+void  S369C5 ::v84(){vfunc_called(this, "_ZN6S369C53v84Ev");}
+void  S369C5 ::v85(){vfunc_called(this, "_ZN6S369C53v85Ev");}
+void  S369C5 ::v86(){vfunc_called(this, "_ZN6S369C53v86Ev");}
+void  S369C5 ::v87(){vfunc_called(this, "_ZN6S369C53v87Ev");}
+void  S369C5 ::v88(){vfunc_called(this, "_ZN6S369C53v88Ev");}
+void  S369C5 ::v89(){vfunc_called(this, "_ZN6S369C53v89Ev");}
+void  S369C5 ::v90(){vfunc_called(this, "_ZN6S369C53v90Ev");}
+void  S369C5 ::v91(){vfunc_called(this, "_ZN6S369C53v91Ev");}
+void  S369C5 ::v92(){vfunc_called(this, "_ZN6S369C53v92Ev");}
+void  S369C5 ::v93(){vfunc_called(this, "_ZN6S369C53v93Ev");}
+void  S369C5 ::v94(){vfunc_called(this, "_ZN6S369C53v94Ev");}
+void  S369C5 ::v95(){vfunc_called(this, "_ZN6S369C53v95Ev");}
+void  S369C5 ::v96(){vfunc_called(this, "_ZN6S369C53v96Ev");}
+void  S369C5 ::v97(){vfunc_called(this, "_ZN6S369C53v97Ev");}
+void  S369C5 ::v98(){vfunc_called(this, "_ZN6S369C53v98Ev");}
+void  S369C5 ::v99(){vfunc_called(this, "_ZN6S369C53v99Ev");}
+void  S369C5 ::v100(){vfunc_called(this, "_ZN6S369C54v100Ev");}
+void  S369C5 ::v101(){vfunc_called(this, "_ZN6S369C54v101Ev");}
+void  S369C5 ::v102(){vfunc_called(this, "_ZN6S369C54v102Ev");}
+void  S369C5 ::v103(){vfunc_called(this, "_ZN6S369C54v103Ev");}
+S369C5 ::S369C5(){ note_ctor("S369C5", this);} // tgen
+
+static void Test_S369C5()
+{
+  extern Class_Descriptor cd_S369C5;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(105,159)];
+    init_test(&cd_S369C5, buf);
+    S369C5 *dp, &lv = *(dp=new (buf) S369C5());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(832,632), "sizeof(S369C5)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S369C5)");
+    check_base_class_offset(lv, (S369C6*), 0, "S369C5");
+    check_field_offset(lv, s369c5f0, ABISELECT(64,40), "S369C5.s369c5f0");
+    check_field_offset(lv, s369c5f1, ABISELECT(80,56), "S369C5.s369c5f1");
+    check_field_offset(lv, s369c5f2, ABISELECT(96,72), "S369C5.s369c5f2");
+    check_field_offset(lv, s369c5f3, ABISELECT(112,88), "S369C5.s369c5f3");
+    check_field_offset(lv, s369c5f4, ABISELECT(128,104), "S369C5.s369c5f4");
+    check_field_offset(lv, s369c5f5, ABISELECT(144,120), "S369C5.s369c5f5");
+    check_field_offset(lv, s369c5f6, ABISELECT(208,184), "S369C5.s369c5f6");
+    check_field_offset(lv, s369c5f7, ABISELECT(272,248), "S369C5.s369c5f7");
+    check_field_offset(lv, s369c5f8, ABISELECT(288,264), "S369C5.s369c5f8");
+    check_field_offset(lv, s369c5f9, ABISELECT(304,280), "S369C5.s369c5f9");
+    check_field_offset(lv, s369c5f10, ABISELECT(320,296), "S369C5.s369c5f10");
+    check_field_offset(lv, s369c5f11, ABISELECT(328,300), "S369C5.s369c5f11");
+    check_field_offset(lv, s369c5f12, ABISELECT(344,316), "S369C5.s369c5f12");
+    check_field_offset(lv, s369c5f13, ABISELECT(368,332), "S369C5.s369c5f13");
+    check_field_offset(lv, s369c5f14, ABISELECT(376,336), "S369C5.s369c5f14");
+    check_field_offset(lv, s369c5f15, ABISELECT(432,364), "S369C5.s369c5f15");
+    set_bf_and_test(lv, s369c5f16, ABISELECT(448,376), 0, 8, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f16, ABISELECT(448,376), 0, 8, hide_sll(1LL<<7), "S369C5");
+    set_bf_and_test(lv, s369c5f17, ABISELECT(449,377), 0, 8, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f17, ABISELECT(449,377), 0, 8, hide_sll(1LL<<7), "S369C5");
+    set_bf_and_test(lv, s369c5f18, ABISELECT(450,378), 0, 16, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f18, ABISELECT(450,378), 0, 16, hide_sll(1LL<<15), "S369C5");
+    set_bf_and_test(lv, s369c5f19, ABISELECT(452,380), 0, 16, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f19, ABISELECT(452,380), 0, 16, hide_sll(1LL<<15), "S369C5");
+    set_bf_and_test(lv, s369c5f20, ABISELECT(454,382), 0, 8, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f20, ABISELECT(454,382), 0, 8, hide_sll(1LL<<7), "S369C5");
+    set_bf_and_test(lv, s369c5f21, ABISELECT(455,383), 0, 8, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f21, ABISELECT(455,383), 0, 8, hide_sll(1LL<<7), "S369C5");
+    set_bf_and_test(lv, s369c5f22, ABISELECT(456,384), 0, 8, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f22, ABISELECT(456,384), 0, 8, hide_sll(1LL<<7), "S369C5");
+    set_bf_and_test(lv, s369c5f23, ABISELECT(460,388), 0, 32, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f23, ABISELECT(460,388), 0, 32, hide_sll(1LL<<31), "S369C5");
+    set_bf_and_test(lv, s369c5f24, ABISELECT(464,392), 0, 8, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f24, ABISELECT(464,392), 0, 8, hide_sll(1LL<<7), "S369C5");
+    set_bf_and_test(lv, s369c5f25, ABISELECT(465,393), 0, 4, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f25, ABISELECT(465,393), 0, 4, hide_sll(1LL<<3), "S369C5");
+    set_bf_and_test(lv, s369c5f26, ABISELECT(465,393), 4, 4, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f26, ABISELECT(465,393), 4, 4, hide_sll(1LL<<3), "S369C5");
+    set_bf_and_test(lv, s369c5f27, ABISELECT(466,394), 0, 4, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f27, ABISELECT(466,394), 0, 4, hide_sll(1LL<<3), "S369C5");
+    set_bf_and_test(lv, s369c5f28, ABISELECT(466,394), 4, 4, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f28, ABISELECT(466,394), 4, 4, hide_sll(1LL<<3), "S369C5");
+    set_bf_and_test(lv, s369c5f29, ABISELECT(467,395), 0, 4, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f29, ABISELECT(467,395), 0, 4, hide_sll(1LL<<3), "S369C5");
+    set_bf_and_test(lv, s369c5f30, ABISELECT(467,395), 4, 4, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f30, ABISELECT(467,395), 4, 4, hide_sll(1LL<<3), "S369C5");
+    set_bf_and_test(lv, s369c5f31, ABISELECT(468,396), 0, 4, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f31, ABISELECT(468,396), 0, 4, hide_sll(1LL<<3), "S369C5");
+    set_bf_and_test(lv, s369c5f32, ABISELECT(468,396), 4, 4, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f32, ABISELECT(468,396), 4, 4, hide_sll(1LL<<3), "S369C5");
+    set_bf_and_test(lv, s369c5f33, ABISELECT(469,397), 0, 4, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f33, ABISELECT(469,397), 0, 4, hide_sll(1LL<<3), "S369C5");
+    set_bf_and_test(lv, s369c5f34, ABISELECT(469,397), 4, 4, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f34, ABISELECT(469,397), 4, 4, hide_sll(1LL<<3), "S369C5");
+    set_bf_and_test(lv, s369c5f35, ABISELECT(470,398), 0, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f36, ABISELECT(470,398), 1, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f37, ABISELECT(470,398), 2, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f38, ABISELECT(470,398), 3, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f39, ABISELECT(470,398), 4, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f40, ABISELECT(470,398), 5, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f41, ABISELECT(470,398), 6, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f42, ABISELECT(470,398), 7, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f43, ABISELECT(471,399), 0, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f44, ABISELECT(471,399), 1, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f45, ABISELECT(471,399), 2, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f46, ABISELECT(471,399), 3, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f47, ABISELECT(471,399), 4, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f48, ABISELECT(471,399), 5, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f49, ABISELECT(471,399), 6, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f50, ABISELECT(471,399), 7, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f51, ABISELECT(472,400), 0, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f52, ABISELECT(472,400), 1, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f53, ABISELECT(472,400), 2, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f54, ABISELECT(472,400), 3, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f55, ABISELECT(472,400), 4, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f56, ABISELECT(472,400), 5, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f57, ABISELECT(472,400), 6, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f58, ABISELECT(472,400), 7, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f59, ABISELECT(473,401), 0, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f60, ABISELECT(473,401), 1, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f61, ABISELECT(473,401), 2, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f62, ABISELECT(473,401), 3, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f63, ABISELECT(473,401), 4, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f64, ABISELECT(473,401), 5, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f65, ABISELECT(473,401), 6, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f66, ABISELECT(473,401), 7, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f67, ABISELECT(474,402), 0, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f68, ABISELECT(474,402), 1, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f69, ABISELECT(474,402), 2, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f70, ABISELECT(474,402), 3, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f71, ABISELECT(474,402), 4, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f72, ABISELECT(474,402), 5, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f73, ABISELECT(474,402), 6, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f74, ABISELECT(474,402), 7, 1, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f75, ABISELECT(475,403), 0, 1, 1, "S369C5");
+    check_field_offset(lv, s369c5f76, ABISELECT(476,404), "S369C5.s369c5f76");
+    check_field_offset(lv, s369c5f77, ABISELECT(504,432), "S369C5.s369c5f77");
+    set_bf_and_test(lv, s369c5f78, ABISELECT(552,456), 0, 8, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f78, ABISELECT(552,456), 0, 8, hide_sll(1LL<<7), "S369C5");
+    set_bf_and_test(lv, s369c5f79, ABISELECT(553,457), 0, 8, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f79, ABISELECT(553,457), 0, 8, hide_sll(1LL<<7), "S369C5");
+    set_bf_and_test(lv, s369c5f80, ABISELECT(554,458), 0, 8, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f80, ABISELECT(554,458), 0, 8, hide_sll(1LL<<7), "S369C5");
+    set_bf_and_test(lv, s369c5f81, ABISELECT(555,459), 0, 8, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f81, ABISELECT(555,459), 0, 8, hide_sll(1LL<<7), "S369C5");
+    set_bf_and_test(lv, s369c5f82, ABISELECT(556,460), 0, 8, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f82, ABISELECT(556,460), 0, 8, hide_sll(1LL<<7), "S369C5");
+    set_bf_and_test(lv, s369c5f83, ABISELECT(557,461), 0, 8, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f83, ABISELECT(557,461), 0, 8, hide_sll(1LL<<7), "S369C5");
+    check_field_offset(lv, s369c5f84, ABISELECT(560,464), "S369C5.s369c5f84");
+    check_field_offset(lv, s369c5f85, ABISELECT(576,476), "S369C5.s369c5f85");
+    set_bf_and_test(lv, s369c5f86, ABISELECT(608,492), 0, 8, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f86, ABISELECT(608,492), 0, 8, hide_sll(1LL<<7), "S369C5");
+    set_bf_and_test(lv, s369c5f87, ABISELECT(609,493), 0, 8, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f87, ABISELECT(609,493), 0, 8, hide_sll(1LL<<7), "S369C5");
+    set_bf_and_test(lv, s369c5f88, ABISELECT(610,494), 0, 8, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f88, ABISELECT(610,494), 0, 8, hide_sll(1LL<<7), "S369C5");
+    set_bf_and_test(lv, s369c5f89, ABISELECT(611,495), 0, 8, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f89, ABISELECT(611,495), 0, 8, hide_sll(1LL<<7), "S369C5");
+    set_bf_and_test(lv, s369c5f90, ABISELECT(612,496), 0, 8, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f90, ABISELECT(612,496), 0, 8, hide_sll(1LL<<7), "S369C5");
+    set_bf_and_test(lv, s369c5f91, ABISELECT(613,497), 0, 16, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f91, ABISELECT(613,497), 0, 16, hide_sll(1LL<<15), "S369C5");
+    set_bf_and_test(lv, s369c5f92, ABISELECT(616,500), 0, 16, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f92, ABISELECT(616,500), 0, 16, hide_sll(1LL<<15), "S369C5");
+    set_bf_and_test(lv, s369c5f93, ABISELECT(618,502), 0, 16, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f93, ABISELECT(618,502), 0, 16, hide_sll(1LL<<15), "S369C5");
+    set_bf_and_test(lv, s369c5f94, ABISELECT(620,504), 0, 4, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f94, ABISELECT(620,504), 0, 4, hide_sll(1LL<<3), "S369C5");
+    set_bf_and_test(lv, s369c5f95, ABISELECT(620,504), 4, 4, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f95, ABISELECT(620,504), 4, 4, hide_sll(1LL<<3), "S369C5");
+    set_bf_and_test(lv, s369c5f96, ABISELECT(621,505), 0, 4, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f96, ABISELECT(621,505), 0, 4, hide_sll(1LL<<3), "S369C5");
+    set_bf_and_test(lv, s369c5f97, ABISELECT(621,505), 4, 4, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f97, ABISELECT(621,505), 4, 4, hide_sll(1LL<<3), "S369C5");
+    set_bf_and_test(lv, s369c5f98, ABISELECT(622,506), 0, 4, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f98, ABISELECT(622,506), 0, 4, hide_sll(1LL<<3), "S369C5");
+    set_bf_and_test(lv, s369c5f99, ABISELECT(622,506), 4, 4, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f99, ABISELECT(622,506), 4, 4, hide_sll(1LL<<3), "S369C5");
+    set_bf_and_test(lv, s369c5f100, ABISELECT(623,507), 0, 4, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f100, ABISELECT(623,507), 0, 4, hide_sll(1LL<<3), "S369C5");
+    set_bf_and_test(lv, s369c5f101, ABISELECT(623,507), 4, 4, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f101, ABISELECT(623,507), 4, 4, hide_sll(1LL<<3), "S369C5");
+    set_bf_and_test(lv, s369c5f102, ABISELECT(624,508), 0, 4, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f102, ABISELECT(624,508), 0, 4, hide_sll(1LL<<3), "S369C5");
+    set_bf_and_test(lv, s369c5f103, ABISELECT(624,508), 4, 4, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f103, ABISELECT(624,508), 4, 4, hide_sll(1LL<<3), "S369C5");
+    set_bf_and_test(lv, s369c5f104, ABISELECT(625,509), 0, 4, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f104, ABISELECT(625,509), 0, 4, hide_sll(1LL<<3), "S369C5");
+    set_bf_and_test(lv, s369c5f105, ABISELECT(625,509), 4, 4, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f105, ABISELECT(625,509), 4, 4, hide_sll(1LL<<3), "S369C5");
+    set_bf_and_test(lv, s369c5f106, ABISELECT(626,510), 0, 8, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f106, ABISELECT(626,510), 0, 8, hide_sll(1LL<<7), "S369C5");
+    set_bf_and_test(lv, s369c5f107, ABISELECT(627,511), 0, 8, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f107, ABISELECT(627,511), 0, 8, hide_sll(1LL<<7), "S369C5");
+    set_bf_and_test(lv, s369c5f108, ABISELECT(628,512), 0, 8, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f108, ABISELECT(628,512), 0, 8, hide_sll(1LL<<7), "S369C5");
+    check_field_offset(lv, s369c5f109, ABISELECT(632,516), "S369C5.s369c5f109");
+    check_field_offset(lv, s369c5f110, ABISELECT(688,544), "S369C5.s369c5f110");
+    check_field_offset(lv, s369c5f111, ABISELECT(712,560), "S369C5.s369c5f111");
+    set_bf_and_test(lv, s369c5f112, ABISELECT(728,568), 0, 16, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f112, ABISELECT(728,568), 0, 16, hide_sll(1LL<<15), "S369C5");
+    set_bf_and_test(lv, s369c5f113, ABISELECT(730,570), 0, 16, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f113, ABISELECT(730,570), 0, 16, hide_sll(1LL<<15), "S369C5");
+    check_field_offset(lv, s369c5f114, ABISELECT(736,572), "S369C5.s369c5f114");
+    check_field_offset(lv, s369c5f115, ABISELECT(752,584), "S369C5.s369c5f115");
+    check_field_offset(lv, s369c5f116, ABISELECT(776,600), "S369C5.s369c5f116");
+    check_field_offset(lv, s369c5f117, ABISELECT(800,616), "S369C5.s369c5f117");
+    set_bf_and_test(lv, s369c5f118, ABISELECT(816,624), 0, 24, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f118, ABISELECT(816,624), 0, 24, hide_sll(1LL<<23), "S369C5");
+    set_bf_and_test(lv, s369c5f119, ABISELECT(819,627), 0, 8, 1, "S369C5");
+    set_bf_and_test(lv, s369c5f119, ABISELECT(819,627), 0, 8, hide_sll(1LL<<7), "S369C5");
+    check_field_offset(lv, s369c5f120, ABISELECT(824,628), "S369C5.s369c5f120");
+    test_class_info(&lv, &cd_S369C5);
+    dp->~S369C5();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS369C5(Test_S369C5, "S369C5", ABISELECT(832,632));
+
+#else // __cplusplus
+
+extern void _ZN6S369C5C1Ev();
+extern void _ZN6S369C5D1Ev();
+Name_Map name_map_S369C5[] = {
+  NSPAIR(_ZN6S369C5C1Ev),
+  NSPAIR(_ZN6S369C5D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S369C6;
+extern VTBL_ENTRY _ZTI6S369C6[];
+extern  VTBL_ENTRY _ZTV6S369C6[];
+static Base_Class bases_S369C5[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S369C6,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI6S369C5[];
+extern void _ZN6S369C5D1Ev();
+extern void _ZN6S369C5D0Ev();
+extern void _ZN6S369C62v3Ev();
+extern void _ZN6S369C62v4Ev();
+extern void _ZN6S369C62v5Ev();
+extern void _ZN6S369C62v6Ev();
+extern void _ZN6S369C62v7Ev();
+extern void _ZN6S369C62v8Ev();
+extern void _ZN6S369C62v9Ev();
+extern void _ZN6S369C63v10Ev();
+extern void _ZN6S369C63v11Ev();
+extern void _ZN6S369C63v12Ev();
+extern void _ZN6S369C63v13Ev();
+extern void _ZN6S369C63v14Ev();
+extern void _ZN6S369C63v15Ev();
+extern void _ZN6S369C63v16Ev();
+extern void _ZN6S369C63v17Ev();
+extern void _ZN6S369C63v18Ev();
+extern void _ZN6S369C63v19Ev();
+extern void _ZN6S369C63v20Ev();
+extern void _ZN6S369C63v21Ev();
+extern void _ZN6S369C63v22Ev();
+extern void _ZN6S369C63v23Ev();
+extern void _ZN6S369C63v24Ev();
+extern void _ZN6S369C63v25Ev();
+extern void _ZN6S369C53v26Ev();
+extern void _ZN6S369C53v27Ev();
+extern void _ZN6S369C53v28Ev();
+extern void _ZN6S369C53v29Ev();
+extern void _ZN6S369C53v30Ev();
+extern void _ZN6S369C53v31Ev();
+extern void _ZN6S369C53v32Ev();
+extern void _ZN6S369C53v33Ev();
+extern void _ZN6S369C53v34Ev();
+extern void _ZN6S369C53v35Ev();
+extern void _ZN6S369C53v36Ev();
+extern void _ZN6S369C53v37Ev();
+extern void _ZN6S369C53v38Ev();
+extern void _ZN6S369C53v39Ev();
+extern void _ZN6S369C53v40Ev();
+extern void _ZN6S369C53v41Ev();
+extern void _ZN6S369C53v42Ev();
+extern void _ZN6S369C53v43Ev();
+extern void _ZN6S369C53v44Ev();
+extern void _ZN6S369C53v45Ev();
+extern void _ZN6S369C53v46Ev();
+extern void _ZN6S369C53v47Ev();
+extern void _ZN6S369C53v48Ev();
+extern void _ZN6S369C53v49Ev();
+extern void _ZN6S369C53v50Ev();
+extern void _ZN6S369C53v51Ev();
+extern void _ZN6S369C53v52Ev();
+extern void _ZN6S369C53v53Ev();
+extern void _ZN6S369C53v54Ev();
+extern void _ZN6S369C53v55Ev();
+extern void _ZN6S369C53v56Ev();
+extern void _ZN6S369C53v57Ev();
+extern void _ZN6S369C53v58Ev();
+extern void _ZN6S369C53v59Ev();
+extern void _ZN6S369C53v60Ev();
+extern void _ZN6S369C53v61Ev();
+extern void _ZN6S369C53v62Ev();
+extern void _ZN6S369C53v63Ev();
+extern void _ZN6S369C53v64Ev();
+extern void _ZN6S369C53v65Ev();
+extern void _ZN6S369C53v66Ev();
+extern void _ZN6S369C53v67Ev();
+extern void _ZN6S369C53v68Ev();
+extern void _ZN6S369C53v69Ev();
+extern void _ZN6S369C53v70Ev();
+extern void _ZN6S369C53v71Ev();
+extern void _ZN6S369C53v72Ev();
+extern void _ZN6S369C53v73Ev();
+extern void _ZN6S369C53v74Ev();
+extern void _ZN6S369C53v75Ev();
+extern void _ZN6S369C53v76Ev();
+extern void _ZN6S369C53v77Ev();
+extern void _ZN6S369C53v78Ev();
+extern void _ZN6S369C53v79Ev();
+extern void _ZN6S369C53v80Ev();
+extern void _ZN6S369C53v81Ev();
+extern void _ZN6S369C53v82Ev();
+extern void _ZN6S369C53v83Ev();
+extern void _ZN6S369C53v84Ev();
+extern void _ZN6S369C53v85Ev();
+extern void _ZN6S369C53v86Ev();
+extern void _ZN6S369C53v87Ev();
+extern void _ZN6S369C53v88Ev();
+extern void _ZN6S369C53v89Ev();
+extern void _ZN6S369C53v90Ev();
+extern void _ZN6S369C53v91Ev();
+extern void _ZN6S369C53v92Ev();
+extern void _ZN6S369C53v93Ev();
+extern void _ZN6S369C53v94Ev();
+extern void _ZN6S369C53v95Ev();
+extern void _ZN6S369C53v96Ev();
+extern void _ZN6S369C53v97Ev();
+extern void _ZN6S369C53v98Ev();
+extern void _ZN6S369C53v99Ev();
+extern void _ZN6S369C54v100Ev();
+extern void _ZN6S369C54v101Ev();
+extern void _ZN6S369C54v102Ev();
+extern void _ZN6S369C54v103Ev();
+static  VTBL_ENTRY vtc_S369C5[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S369C5[0]),
+  (VTBL_ENTRY)&_ZN6S369C5D1Ev,
+  (VTBL_ENTRY)&_ZN6S369C5D0Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v3Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v4Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v5Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v6Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v7Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v8Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v9Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v10Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v11Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v12Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v13Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v14Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v15Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v16Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v17Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v18Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v19Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v20Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v21Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v22Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v23Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v24Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v25Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v26Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v27Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v28Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v29Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v30Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v31Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v32Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v33Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v34Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v35Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v36Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v37Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v38Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v39Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v40Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v41Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v42Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v43Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v44Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v45Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v46Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v47Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v48Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v49Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v50Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v51Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v52Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v53Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v54Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v55Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v56Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v57Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v58Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v59Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v60Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v61Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v62Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v63Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v64Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v65Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v77Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v78Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v79Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v80Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v81Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v82Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v83Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v84Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v85Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v86Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v87Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v88Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v89Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v90Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v91Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v92Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v93Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v94Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v95Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v96Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v97Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v98Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v99Ev,
+  (VTBL_ENTRY)&_ZN6S369C54v100Ev,
+  (VTBL_ENTRY)&_ZN6S369C54v101Ev,
+  (VTBL_ENTRY)&_ZN6S369C54v102Ev,
+  (VTBL_ENTRY)&_ZN6S369C54v103Ev,
+};
+extern VTBL_ENTRY _ZTI6S369C5[];
+extern  VTBL_ENTRY _ZTV6S369C5[];
+Class_Descriptor cd_S369C5 = {  "S369C5", // class name
+  bases_S369C5, 1,
+  &(vtc_S369C5[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(832,632), // object size
+  NSPAIRA(_ZTI6S369C5),ABISELECT(24,12), //typeinfo_var
+  NSPAIRA(_ZTV6S369C5),105, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  1, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S369C4  : S369C5 {
+  int s369c4f0[2];
+  ::S938C40_nt s369c4f1;
+  void *s369c4f2[4];
+  ::S938C60 s369c4f3;
+  ::S938C60 s369c4f4;
+  ::S938C60 s369c4f5;
+  ::S938C40_nt s369c4f6;
+  ::S938C60 s369c4f7;
+  ::S938C40_nt s369c4f8;
+  ::S938C40_nt s369c4f9;
+  ::S938C60 s369c4f10;
+  ::S938C60 s369c4f11;
+  ::S938C60 s369c4f12;
+  ::S938C40_nt s369c4f13;
+  ::S938C60 s369c4f14;
+  ::S938C60 s369c4f15;
+  int s369c4f16[7];
+  ::S938C18 s369c4f17;
+  ::S938C40_nt s369c4f18;
+  ::S938C40_nt s369c4f19;
+  ::S938C40_nt s369c4f20;
+  void *s369c4f21;
+  int s369c4f22;
+  void *s369c4f23[4];
+  char s369c4f24[2];
+  ::S938C46 s369c4f25;
+  int s369c4f26[8];
+  char s369c4f27[6];
+  int s369c4f28;
+  char s369c4f29;
+  int s369c4f30[2];
+  char s369c4f31[2];
+  virtual  ~S369C4(); // _ZN6S369C4D1Ev
+  virtual void  v104(); // _ZN6S369C44v104Ev
+  virtual void  v105(); // _ZN6S369C44v105Ev
+  virtual void  v106(); // _ZN6S369C44v106Ev
+  virtual void  v107(); // _ZN6S369C44v107Ev
+  virtual void  v108(); // _ZN6S369C44v108Ev
+  virtual void  v109(); // _ZN6S369C44v109Ev
+  virtual void  v110(); // _ZN6S369C44v110Ev
+  virtual void  v111(); // _ZN6S369C44v111Ev
+  virtual void  v112(); // _ZN6S369C44v112Ev
+  virtual void  v113(); // _ZN6S369C44v113Ev
+  virtual void  v114(); // _ZN6S369C44v114Ev
+  virtual void  v115(); // _ZN6S369C44v115Ev
+  virtual void  v116(); // _ZN6S369C44v116Ev
+  virtual void  v117(); // _ZN6S369C44v117Ev
+  virtual void  v118(); // _ZN6S369C44v118Ev
+  virtual void  v119(); // _ZN6S369C44v119Ev
+  virtual void  v120(); // _ZN6S369C44v120Ev
+  virtual void  v121(); // _ZN6S369C44v121Ev
+  virtual void  v122(); // _ZN6S369C44v122Ev
+  virtual void  v123(); // _ZN6S369C44v123Ev
+  virtual void  v124(); // _ZN6S369C44v124Ev
+  virtual void  v125(); // _ZN6S369C44v125Ev
+  virtual void  v126(); // _ZN6S369C44v126Ev
+  virtual void  v127(); // _ZN6S369C44v127Ev
+  virtual void  v128(); // _ZN6S369C44v128Ev
+  virtual void  v129(); // _ZN6S369C44v129Ev
+  virtual void  v130(); // _ZN6S369C44v130Ev
+  virtual void  v131(); // _ZN6S369C44v131Ev
+  virtual void  v132(); // _ZN6S369C44v132Ev
+  virtual void  v133(); // _ZN6S369C44v133Ev
+  virtual void  v134(); // _ZN6S369C44v134Ev
+  virtual void  v135(); // _ZN6S369C44v135Ev
+  virtual void  v136(); // _ZN6S369C44v136Ev
+  virtual void  v137(); // _ZN6S369C44v137Ev
+  virtual void  v138(); // _ZN6S369C44v138Ev
+  virtual void  v139(); // _ZN6S369C44v139Ev
+  virtual void  v140(); // _ZN6S369C44v140Ev
+  virtual void  v141(); // _ZN6S369C44v141Ev
+  virtual void  v142(); // _ZN6S369C44v142Ev
+  virtual void  v143(); // _ZN6S369C44v143Ev
+  virtual void  v144(); // _ZN6S369C44v144Ev
+  virtual void  v145(); // _ZN6S369C44v145Ev
+  virtual void  v146(); // _ZN6S369C44v146Ev
+  virtual void  v147(); // _ZN6S369C44v147Ev
+  virtual void  v148(); // _ZN6S369C44v148Ev
+  virtual void  v149(); // _ZN6S369C44v149Ev
+  virtual void  v150(); // _ZN6S369C44v150Ev
+  virtual void  v151(); // _ZN6S369C44v151Ev
+  virtual void  v152(); // _ZN6S369C44v152Ev
+  virtual void  v153(); // _ZN6S369C44v153Ev
+  virtual void  v154(); // _ZN6S369C44v154Ev
+  virtual void  v155(); // _ZN6S369C44v155Ev
+  virtual void  v156(); // _ZN6S369C44v156Ev
+  virtual void  v157(); // _ZN6S369C44v157Ev
+  virtual void  v158(); // _ZN6S369C44v158Ev
+  virtual void  v159(); // _ZN6S369C44v159Ev
+  virtual void  v160(); // _ZN6S369C44v160Ev
+  virtual void  v161(); // _ZN6S369C44v161Ev
+  virtual void  v162(); // _ZN6S369C44v162Ev
+  virtual void  v163(); // _ZN6S369C44v163Ev
+  virtual void  v164(); // _ZN6S369C44v164Ev
+  virtual void  v165(); // _ZN6S369C44v165Ev
+  virtual void  v166(); // _ZN6S369C44v166Ev
+  virtual void  v167(); // _ZN6S369C44v167Ev
+  virtual void  v168(); // _ZN6S369C44v168Ev
+  virtual void  v169(); // _ZN6S369C44v169Ev
+  virtual void  v170(); // _ZN6S369C44v170Ev
+  virtual void  v171(); // _ZN6S369C44v171Ev
+  virtual void  v172(); // _ZN6S369C44v172Ev
+  virtual void  v173(); // _ZN6S369C44v173Ev
+  virtual void  v174(); // _ZN6S369C44v174Ev
+  virtual void  v175(); // _ZN6S369C44v175Ev
+  virtual void  v176(); // _ZN6S369C44v176Ev
+  virtual void  v177(); // _ZN6S369C44v177Ev
+  virtual void  v178(); // _ZN6S369C44v178Ev
+  virtual void  v179(); // _ZN6S369C44v179Ev
+  virtual void  v180(); // _ZN6S369C44v180Ev
+  virtual void  v181(); // _ZN6S369C44v181Ev
+  virtual void  v182(); // _ZN6S369C44v182Ev
+  virtual void  v183(); // _ZN6S369C44v183Ev
+  virtual void  v184(); // _ZN6S369C44v184Ev
+  virtual void  v185(); // _ZN6S369C44v185Ev
+  virtual void  v186(); // _ZN6S369C44v186Ev
+  virtual void  v187(); // _ZN6S369C44v187Ev
+  virtual void  v188(); // _ZN6S369C44v188Ev
+  virtual void  v189(); // _ZN6S369C44v189Ev
+  S369C4(); // tgen
+};
+//SIG(-1 S369C4) C1{ BC2{ BC3{ vd v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 v102 v103 v104 v105 v106 v107 v108 v109 Fp Fi FC4{ BC5{ m Fp Fi FC6{ m} FC7{ m}}} FC8{ BC9{ m Fp Fi FC10{ m} FC11{ m}}} Fi} vd v110 v111 v112 v113 v114 v115 v116 v117 v118 v119 v120 v121 v122 v123 v124 v125 v126 v127 v128 v129 v130 v131 v132 v133 v134 v135 v136 v137 v138 v139 v140 v141 v142 v143 v144 v145 v146 v147 v148 v149 v150 v151 v152 v153 v154 v155 v156 v157 v158 v159 v160 v161 v162 v163 v164 v165 v166 v167 v168 v169 v170 v171 v172 v173 v174 v175 v176 v177 v178 v179 v180 v181 v182 v183 v184 v185 v186 v187 FC12{ m FC13{ m FL[2]}} FC12 FC12 FC14{ m FC13} FC15{ m FC14} FC16{ m FC14 FC14 FC14 FC14} FC16 FC12 FC12 FC12 Fp FC15 FC17{ m FC18{ m Fp Fi FC19{ m}} Fi} Fi Fp[7] FC20{ m Fp Fi FC7 FC21{ m}} Fi:8 Fi:8 Fi:16 Fi:16 Fi:8 Fi:8 Fi:8 Fi:32 Fi:8 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 !
 Fc:1 Fc:1
  Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fi[7] Fp[6] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 FC22{ BC23{ m Fp Fi FC24{ m} FC24}} Fp[4] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:16 Fi:16 Fi:16 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:8 Fi:8 Fi:8 Fp[7] FC25{ m Fp Fi[2] FC6} Fp[2] Fi:16 Fi:16 FC26{ BC9} FC27{ m Fp Fi[2] FC11} FC28{ m Fp Fi[2] FC21} Fp[2] Fi:24 Fi:8 Fp} vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53 v54 v55 v56 v57 v58 v59 v60 v61 v62 v63 v64 v65 v66 v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 v78 v79 v80 v81 v82 v83 v84 v85 v86 Fi[2] FC29{ m FC30{ m FL}} Fp[4] FC12 FC12 FC12 FC29 FC12 FC29 FC29 FC12 FC12 FC12 FC29 FC12 FC12 Fi[7] FC15 FC29 FC29 FC29 Fp Fi Fp[4] Fc[2] FC5 Fi[8] Fc[6] Fi Fc Fi[2] Fc[2]}
+
+
+ S369C4 ::~S369C4(){ note_dtor("S369C4", this);}
+void  S369C4 ::v104(){vfunc_called(this, "_ZN6S369C44v104Ev");}
+void  S369C4 ::v105(){vfunc_called(this, "_ZN6S369C44v105Ev");}
+void  S369C4 ::v106(){vfunc_called(this, "_ZN6S369C44v106Ev");}
+void  S369C4 ::v107(){vfunc_called(this, "_ZN6S369C44v107Ev");}
+void  S369C4 ::v108(){vfunc_called(this, "_ZN6S369C44v108Ev");}
+void  S369C4 ::v109(){vfunc_called(this, "_ZN6S369C44v109Ev");}
+void  S369C4 ::v110(){vfunc_called(this, "_ZN6S369C44v110Ev");}
+void  S369C4 ::v111(){vfunc_called(this, "_ZN6S369C44v111Ev");}
+void  S369C4 ::v112(){vfunc_called(this, "_ZN6S369C44v112Ev");}
+void  S369C4 ::v113(){vfunc_called(this, "_ZN6S369C44v113Ev");}
+void  S369C4 ::v114(){vfunc_called(this, "_ZN6S369C44v114Ev");}
+void  S369C4 ::v115(){vfunc_called(this, "_ZN6S369C44v115Ev");}
+void  S369C4 ::v116(){vfunc_called(this, "_ZN6S369C44v116Ev");}
+void  S369C4 ::v117(){vfunc_called(this, "_ZN6S369C44v117Ev");}
+void  S369C4 ::v118(){vfunc_called(this, "_ZN6S369C44v118Ev");}
+void  S369C4 ::v119(){vfunc_called(this, "_ZN6S369C44v119Ev");}
+void  S369C4 ::v120(){vfunc_called(this, "_ZN6S369C44v120Ev");}
+void  S369C4 ::v121(){vfunc_called(this, "_ZN6S369C44v121Ev");}
+void  S369C4 ::v122(){vfunc_called(this, "_ZN6S369C44v122Ev");}
+void  S369C4 ::v123(){vfunc_called(this, "_ZN6S369C44v123Ev");}
+void  S369C4 ::v124(){vfunc_called(this, "_ZN6S369C44v124Ev");}
+void  S369C4 ::v125(){vfunc_called(this, "_ZN6S369C44v125Ev");}
+void  S369C4 ::v126(){vfunc_called(this, "_ZN6S369C44v126Ev");}
+void  S369C4 ::v127(){vfunc_called(this, "_ZN6S369C44v127Ev");}
+void  S369C4 ::v128(){vfunc_called(this, "_ZN6S369C44v128Ev");}
+void  S369C4 ::v129(){vfunc_called(this, "_ZN6S369C44v129Ev");}
+void  S369C4 ::v130(){vfunc_called(this, "_ZN6S369C44v130Ev");}
+void  S369C4 ::v131(){vfunc_called(this, "_ZN6S369C44v131Ev");}
+void  S369C4 ::v132(){vfunc_called(this, "_ZN6S369C44v132Ev");}
+void  S369C4 ::v133(){vfunc_called(this, "_ZN6S369C44v133Ev");}
+void  S369C4 ::v134(){vfunc_called(this, "_ZN6S369C44v134Ev");}
+void  S369C4 ::v135(){vfunc_called(this, "_ZN6S369C44v135Ev");}
+void  S369C4 ::v136(){vfunc_called(this, "_ZN6S369C44v136Ev");}
+void  S369C4 ::v137(){vfunc_called(this, "_ZN6S369C44v137Ev");}
+void  S369C4 ::v138(){vfunc_called(this, "_ZN6S369C44v138Ev");}
+void  S369C4 ::v139(){vfunc_called(this, "_ZN6S369C44v139Ev");}
+void  S369C4 ::v140(){vfunc_called(this, "_ZN6S369C44v140Ev");}
+void  S369C4 ::v141(){vfunc_called(this, "_ZN6S369C44v141Ev");}
+void  S369C4 ::v142(){vfunc_called(this, "_ZN6S369C44v142Ev");}
+void  S369C4 ::v143(){vfunc_called(this, "_ZN6S369C44v143Ev");}
+void  S369C4 ::v144(){vfunc_called(this, "_ZN6S369C44v144Ev");}
+void  S369C4 ::v145(){vfunc_called(this, "_ZN6S369C44v145Ev");}
+void  S369C4 ::v146(){vfunc_called(this, "_ZN6S369C44v146Ev");}
+void  S369C4 ::v147(){vfunc_called(this, "_ZN6S369C44v147Ev");}
+void  S369C4 ::v148(){vfunc_called(this, "_ZN6S369C44v148Ev");}
+void  S369C4 ::v149(){vfunc_called(this, "_ZN6S369C44v149Ev");}
+void  S369C4 ::v150(){vfunc_called(this, "_ZN6S369C44v150Ev");}
+void  S369C4 ::v151(){vfunc_called(this, "_ZN6S369C44v151Ev");}
+void  S369C4 ::v152(){vfunc_called(this, "_ZN6S369C44v152Ev");}
+void  S369C4 ::v153(){vfunc_called(this, "_ZN6S369C44v153Ev");}
+void  S369C4 ::v154(){vfunc_called(this, "_ZN6S369C44v154Ev");}
+void  S369C4 ::v155(){vfunc_called(this, "_ZN6S369C44v155Ev");}
+void  S369C4 ::v156(){vfunc_called(this, "_ZN6S369C44v156Ev");}
+void  S369C4 ::v157(){vfunc_called(this, "_ZN6S369C44v157Ev");}
+void  S369C4 ::v158(){vfunc_called(this, "_ZN6S369C44v158Ev");}
+void  S369C4 ::v159(){vfunc_called(this, "_ZN6S369C44v159Ev");}
+void  S369C4 ::v160(){vfunc_called(this, "_ZN6S369C44v160Ev");}
+void  S369C4 ::v161(){vfunc_called(this, "_ZN6S369C44v161Ev");}
+void  S369C4 ::v162(){vfunc_called(this, "_ZN6S369C44v162Ev");}
+void  S369C4 ::v163(){vfunc_called(this, "_ZN6S369C44v163Ev");}
+void  S369C4 ::v164(){vfunc_called(this, "_ZN6S369C44v164Ev");}
+void  S369C4 ::v165(){vfunc_called(this, "_ZN6S369C44v165Ev");}
+void  S369C4 ::v166(){vfunc_called(this, "_ZN6S369C44v166Ev");}
+void  S369C4 ::v167(){vfunc_called(this, "_ZN6S369C44v167Ev");}
+void  S369C4 ::v168(){vfunc_called(this, "_ZN6S369C44v168Ev");}
+void  S369C4 ::v169(){vfunc_called(this, "_ZN6S369C44v169Ev");}
+void  S369C4 ::v170(){vfunc_called(this, "_ZN6S369C44v170Ev");}
+void  S369C4 ::v171(){vfunc_called(this, "_ZN6S369C44v171Ev");}
+void  S369C4 ::v172(){vfunc_called(this, "_ZN6S369C44v172Ev");}
+void  S369C4 ::v173(){vfunc_called(this, "_ZN6S369C44v173Ev");}
+void  S369C4 ::v174(){vfunc_called(this, "_ZN6S369C44v174Ev");}
+void  S369C4 ::v175(){vfunc_called(this, "_ZN6S369C44v175Ev");}
+void  S369C4 ::v176(){vfunc_called(this, "_ZN6S369C44v176Ev");}
+void  S369C4 ::v177(){vfunc_called(this, "_ZN6S369C44v177Ev");}
+void  S369C4 ::v178(){vfunc_called(this, "_ZN6S369C44v178Ev");}
+void  S369C4 ::v179(){vfunc_called(this, "_ZN6S369C44v179Ev");}
+void  S369C4 ::v180(){vfunc_called(this, "_ZN6S369C44v180Ev");}
+void  S369C4 ::v181(){vfunc_called(this, "_ZN6S369C44v181Ev");}
+void  S369C4 ::v182(){vfunc_called(this, "_ZN6S369C44v182Ev");}
+void  S369C4 ::v183(){vfunc_called(this, "_ZN6S369C44v183Ev");}
+void  S369C4 ::v184(){vfunc_called(this, "_ZN6S369C44v184Ev");}
+void  S369C4 ::v185(){vfunc_called(this, "_ZN6S369C44v185Ev");}
+void  S369C4 ::v186(){vfunc_called(this, "_ZN6S369C44v186Ev");}
+void  S369C4 ::v187(){vfunc_called(this, "_ZN6S369C44v187Ev");}
+void  S369C4 ::v188(){vfunc_called(this, "_ZN6S369C44v188Ev");}
+void  S369C4 ::v189(){vfunc_called(this, "_ZN6S369C44v189Ev");}
+S369C4 ::S369C4(){ note_ctor("S369C4", this);} // tgen
+
+static void Test_S369C4()
+{
+  extern Class_Descriptor cd_S369C4;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(159,253)];
+    init_test(&cd_S369C4, buf);
+    S369C4 *dp, &lv = *(dp=new (buf) S369C4());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(1264,1008), "sizeof(S369C4)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S369C4)");
+    check_base_class_offset(lv, (S369C6*)(S369C5*), 0, "S369C4");
+    check_base_class_offset(lv, (S369C5*), 0, "S369C4");
+    check_field_offset(lv, s369c4f0, ABISELECT(832,632), "S369C4.s369c4f0");
+    check_field_offset(lv, s369c4f1, ABISELECT(840,640), "S369C4.s369c4f1");
+    check_field_offset(lv, s369c4f2, ABISELECT(848,648), "S369C4.s369c4f2");
+    check_field_offset(lv, s369c4f3, ABISELECT(880,664), "S369C4.s369c4f3");
+    check_field_offset(lv, s369c4f4, ABISELECT(896,680), "S369C4.s369c4f4");
+    check_field_offset(lv, s369c4f5, ABISELECT(912,696), "S369C4.s369c4f5");
+    check_field_offset(lv, s369c4f6, ABISELECT(928,712), "S369C4.s369c4f6");
+    check_field_offset(lv, s369c4f7, ABISELECT(936,720), "S369C4.s369c4f7");
+    check_field_offset(lv, s369c4f8, ABISELECT(952,736), "S369C4.s369c4f8");
+    check_field_offset(lv, s369c4f9, ABISELECT(960,744), "S369C4.s369c4f9");
+    check_field_offset(lv, s369c4f10, ABISELECT(968,752), "S369C4.s369c4f10");
+    check_field_offset(lv, s369c4f11, ABISELECT(984,768), "S369C4.s369c4f11");
+    check_field_offset(lv, s369c4f12, ABISELECT(1000,784), "S369C4.s369c4f12");
+    check_field_offset(lv, s369c4f13, ABISELECT(1016,800), "S369C4.s369c4f13");
+    check_field_offset(lv, s369c4f14, ABISELECT(1024,808), "S369C4.s369c4f14");
+    check_field_offset(lv, s369c4f15, ABISELECT(1040,824), "S369C4.s369c4f15");
+    check_field_offset(lv, s369c4f16, ABISELECT(1056,840), "S369C4.s369c4f16");
+    check_field_offset(lv, s369c4f17, ABISELECT(1088,868), "S369C4.s369c4f17");
+    check_field_offset(lv, s369c4f18, ABISELECT(1104,884), "S369C4.s369c4f18");
+    check_field_offset(lv, s369c4f19, ABISELECT(1112,892), "S369C4.s369c4f19");
+    check_field_offset(lv, s369c4f20, ABISELECT(1120,900), "S369C4.s369c4f20");
+    check_field_offset(lv, s369c4f21, ABISELECT(1128,908), "S369C4.s369c4f21");
+    check_field_offset(lv, s369c4f22, ABISELECT(1136,912), "S369C4.s369c4f22");
+    check_field_offset(lv, s369c4f23, ABISELECT(1144,916), "S369C4.s369c4f23");
+    check_field_offset(lv, s369c4f24, ABISELECT(1176,932), "S369C4.s369c4f24");
+    check_field_offset(lv, s369c4f25, ABISELECT(1184,936), "S369C4.s369c4f25");
+    check_field_offset(lv, s369c4f26, ABISELECT(1200,948), "S369C4.s369c4f26");
+    check_field_offset(lv, s369c4f27, ABISELECT(1232,980), "S369C4.s369c4f27");
+    check_field_offset(lv, s369c4f28, ABISELECT(1240,988), "S369C4.s369c4f28");
+    check_field_offset(lv, s369c4f29, ABISELECT(1244,992), "S369C4.s369c4f29");
+    check_field_offset(lv, s369c4f30, ABISELECT(1248,996), "S369C4.s369c4f30");
+    check_field_offset(lv, s369c4f31, ABISELECT(1256,1004), "S369C4.s369c4f31");
+    test_class_info(&lv, &cd_S369C4);
+    dp->~S369C4();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS369C4(Test_S369C4, "S369C4", ABISELECT(1264,1008));
+
+#else // __cplusplus
+
+extern void _ZN6S369C4C1Ev();
+extern void _ZN6S369C4D1Ev();
+Name_Map name_map_S369C4[] = {
+  NSPAIR(_ZN6S369C4C1Ev),
+  NSPAIR(_ZN6S369C4D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S369C6;
+extern VTBL_ENTRY _ZTI6S369C6[];
+extern  VTBL_ENTRY _ZTV6S369C6[];
+extern Class_Descriptor cd_S369C5;
+extern VTBL_ENTRY _ZTI6S369C5[];
+extern  VTBL_ENTRY _ZTV6S369C5[];
+static Base_Class bases_S369C4[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S369C6,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    1, //immediately_derived
+  0, 0},
+  {&cd_S369C5,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    2, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI6S369C4[];
+extern void _ZN6S369C4D1Ev();
+extern void _ZN6S369C4D0Ev();
+extern void _ZN6S369C62v3Ev();
+extern void _ZN6S369C62v4Ev();
+extern void _ZN6S369C62v5Ev();
+extern void _ZN6S369C62v6Ev();
+extern void _ZN6S369C62v7Ev();
+extern void _ZN6S369C62v8Ev();
+extern void _ZN6S369C62v9Ev();
+extern void _ZN6S369C63v10Ev();
+extern void _ZN6S369C63v11Ev();
+extern void _ZN6S369C63v12Ev();
+extern void _ZN6S369C63v13Ev();
+extern void _ZN6S369C63v14Ev();
+extern void _ZN6S369C63v15Ev();
+extern void _ZN6S369C63v16Ev();
+extern void _ZN6S369C63v17Ev();
+extern void _ZN6S369C63v18Ev();
+extern void _ZN6S369C63v19Ev();
+extern void _ZN6S369C63v20Ev();
+extern void _ZN6S369C63v21Ev();
+extern void _ZN6S369C63v22Ev();
+extern void _ZN6S369C63v23Ev();
+extern void _ZN6S369C63v24Ev();
+extern void _ZN6S369C63v25Ev();
+extern void _ZN6S369C53v26Ev();
+extern void _ZN6S369C53v27Ev();
+extern void _ZN6S369C53v28Ev();
+extern void _ZN6S369C53v29Ev();
+extern void _ZN6S369C53v30Ev();
+extern void _ZN6S369C53v31Ev();
+extern void _ZN6S369C53v32Ev();
+extern void _ZN6S369C53v33Ev();
+extern void _ZN6S369C53v34Ev();
+extern void _ZN6S369C53v35Ev();
+extern void _ZN6S369C53v36Ev();
+extern void _ZN6S369C53v37Ev();
+extern void _ZN6S369C53v38Ev();
+extern void _ZN6S369C53v39Ev();
+extern void _ZN6S369C53v40Ev();
+extern void _ZN6S369C53v41Ev();
+extern void _ZN6S369C53v42Ev();
+extern void _ZN6S369C53v43Ev();
+extern void _ZN6S369C53v44Ev();
+extern void _ZN6S369C53v45Ev();
+extern void _ZN6S369C53v46Ev();
+extern void _ZN6S369C53v47Ev();
+extern void _ZN6S369C53v48Ev();
+extern void _ZN6S369C53v49Ev();
+extern void _ZN6S369C53v50Ev();
+extern void _ZN6S369C53v51Ev();
+extern void _ZN6S369C53v52Ev();
+extern void _ZN6S369C53v53Ev();
+extern void _ZN6S369C53v54Ev();
+extern void _ZN6S369C53v55Ev();
+extern void _ZN6S369C53v56Ev();
+extern void _ZN6S369C53v57Ev();
+extern void _ZN6S369C53v58Ev();
+extern void _ZN6S369C53v59Ev();
+extern void _ZN6S369C53v60Ev();
+extern void _ZN6S369C53v61Ev();
+extern void _ZN6S369C53v62Ev();
+extern void _ZN6S369C53v63Ev();
+extern void _ZN6S369C53v64Ev();
+extern void _ZN6S369C53v65Ev();
+extern void _ZN6S369C53v66Ev();
+extern void _ZN6S369C53v67Ev();
+extern void _ZN6S369C53v68Ev();
+extern void _ZN6S369C53v69Ev();
+extern void _ZN6S369C53v70Ev();
+extern void _ZN6S369C53v71Ev();
+extern void _ZN6S369C53v72Ev();
+extern void _ZN6S369C53v73Ev();
+extern void _ZN6S369C53v74Ev();
+extern void _ZN6S369C53v75Ev();
+extern void _ZN6S369C53v76Ev();
+extern void _ZN6S369C53v77Ev();
+extern void _ZN6S369C53v78Ev();
+extern void _ZN6S369C53v79Ev();
+extern void _ZN6S369C53v80Ev();
+extern void _ZN6S369C53v81Ev();
+extern void _ZN6S369C53v82Ev();
+extern void _ZN6S369C53v83Ev();
+extern void _ZN6S369C53v84Ev();
+extern void _ZN6S369C53v85Ev();
+extern void _ZN6S369C53v86Ev();
+extern void _ZN6S369C53v87Ev();
+extern void _ZN6S369C53v88Ev();
+extern void _ZN6S369C53v89Ev();
+extern void _ZN6S369C53v90Ev();
+extern void _ZN6S369C53v91Ev();
+extern void _ZN6S369C53v92Ev();
+extern void _ZN6S369C53v93Ev();
+extern void _ZN6S369C53v94Ev();
+extern void _ZN6S369C53v95Ev();
+extern void _ZN6S369C53v96Ev();
+extern void _ZN6S369C53v97Ev();
+extern void _ZN6S369C53v98Ev();
+extern void _ZN6S369C53v99Ev();
+extern void _ZN6S369C54v100Ev();
+extern void _ZN6S369C54v101Ev();
+extern void _ZN6S369C54v102Ev();
+extern void _ZN6S369C54v103Ev();
+extern void _ZN6S369C44v104Ev();
+extern void _ZN6S369C44v105Ev();
+extern void _ZN6S369C44v106Ev();
+extern void _ZN6S369C44v107Ev();
+extern void _ZN6S369C44v108Ev();
+extern void _ZN6S369C44v109Ev();
+extern void _ZN6S369C44v110Ev();
+extern void _ZN6S369C44v111Ev();
+extern void _ZN6S369C44v112Ev();
+extern void _ZN6S369C44v113Ev();
+extern void _ZN6S369C44v114Ev();
+extern void _ZN6S369C44v115Ev();
+extern void _ZN6S369C44v116Ev();
+extern void _ZN6S369C44v117Ev();
+extern void _ZN6S369C44v118Ev();
+extern void _ZN6S369C44v119Ev();
+extern void _ZN6S369C44v120Ev();
+extern void _ZN6S369C44v121Ev();
+extern void _ZN6S369C44v122Ev();
+extern void _ZN6S369C44v123Ev();
+extern void _ZN6S369C44v124Ev();
+extern void _ZN6S369C44v125Ev();
+extern void _ZN6S369C44v126Ev();
+extern void _ZN6S369C44v127Ev();
+extern void _ZN6S369C44v128Ev();
+extern void _ZN6S369C44v129Ev();
+extern void _ZN6S369C44v130Ev();
+extern void _ZN6S369C44v131Ev();
+extern void _ZN6S369C44v132Ev();
+extern void _ZN6S369C44v133Ev();
+extern void _ZN6S369C44v134Ev();
+extern void _ZN6S369C44v135Ev();
+extern void _ZN6S369C44v136Ev();
+extern void _ZN6S369C44v137Ev();
+extern void _ZN6S369C44v138Ev();
+extern void _ZN6S369C44v139Ev();
+extern void _ZN6S369C44v140Ev();
+extern void _ZN6S369C44v141Ev();
+extern void _ZN6S369C44v142Ev();
+extern void _ZN6S369C44v143Ev();
+extern void _ZN6S369C44v144Ev();
+extern void _ZN6S369C44v145Ev();
+extern void _ZN6S369C44v146Ev();
+extern void _ZN6S369C44v147Ev();
+extern void _ZN6S369C44v148Ev();
+extern void _ZN6S369C44v149Ev();
+extern void _ZN6S369C44v150Ev();
+extern void _ZN6S369C44v151Ev();
+extern void _ZN6S369C44v152Ev();
+extern void _ZN6S369C44v153Ev();
+extern void _ZN6S369C44v154Ev();
+extern void _ZN6S369C44v155Ev();
+extern void _ZN6S369C44v156Ev();
+extern void _ZN6S369C44v157Ev();
+extern void _ZN6S369C44v158Ev();
+extern void _ZN6S369C44v159Ev();
+extern void _ZN6S369C44v160Ev();
+extern void _ZN6S369C44v161Ev();
+extern void _ZN6S369C44v162Ev();
+extern void _ZN6S369C44v163Ev();
+extern void _ZN6S369C44v164Ev();
+extern void _ZN6S369C44v165Ev();
+extern void _ZN6S369C44v166Ev();
+extern void _ZN6S369C44v167Ev();
+extern void _ZN6S369C44v168Ev();
+extern void _ZN6S369C44v169Ev();
+extern void _ZN6S369C44v170Ev();
+extern void _ZN6S369C44v171Ev();
+extern void _ZN6S369C44v172Ev();
+extern void _ZN6S369C44v173Ev();
+extern void _ZN6S369C44v174Ev();
+extern void _ZN6S369C44v175Ev();
+extern void _ZN6S369C44v176Ev();
+extern void _ZN6S369C44v177Ev();
+extern void _ZN6S369C44v178Ev();
+extern void _ZN6S369C44v179Ev();
+extern void _ZN6S369C44v180Ev();
+extern void _ZN6S369C44v181Ev();
+extern void _ZN6S369C44v182Ev();
+extern void _ZN6S369C44v183Ev();
+extern void _ZN6S369C44v184Ev();
+extern void _ZN6S369C44v185Ev();
+extern void _ZN6S369C44v186Ev();
+extern void _ZN6S369C44v187Ev();
+extern void _ZN6S369C44v188Ev();
+extern void _ZN6S369C44v189Ev();
+static  VTBL_ENTRY vtc_S369C4[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S369C4[0]),
+  (VTBL_ENTRY)&_ZN6S369C4D1Ev,
+  (VTBL_ENTRY)&_ZN6S369C4D0Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v3Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v4Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v5Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v6Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v7Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v8Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v9Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v10Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v11Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v12Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v13Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v14Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v15Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v16Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v17Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v18Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v19Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v20Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v21Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v22Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v23Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v24Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v25Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v26Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v27Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v28Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v29Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v30Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v31Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v32Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v33Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v34Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v35Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v36Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v37Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v38Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v39Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v40Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v41Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v42Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v43Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v44Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v45Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v46Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v47Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v48Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v49Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v50Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v51Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v52Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v53Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v54Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v55Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v56Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v57Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v58Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v59Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v60Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v61Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v62Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v63Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v64Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v65Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v77Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v78Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v79Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v80Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v81Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v82Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v83Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v84Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v85Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v86Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v87Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v88Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v89Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v90Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v91Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v92Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v93Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v94Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v95Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v96Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v97Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v98Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v99Ev,
+  (VTBL_ENTRY)&_ZN6S369C54v100Ev,
+  (VTBL_ENTRY)&_ZN6S369C54v101Ev,
+  (VTBL_ENTRY)&_ZN6S369C54v102Ev,
+  (VTBL_ENTRY)&_ZN6S369C54v103Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v104Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v105Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v106Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v107Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v108Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v109Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v110Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v111Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v112Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v113Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v114Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v115Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v116Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v117Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v118Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v119Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v120Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v121Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v122Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v123Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v124Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v125Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v126Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v127Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v128Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v129Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v130Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v131Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v132Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v133Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v134Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v135Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v136Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v137Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v138Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v139Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v140Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v141Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v142Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v143Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v144Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v145Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v146Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v147Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v148Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v149Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v150Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v151Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v152Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v153Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v154Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v155Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v156Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v157Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v158Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v159Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v160Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v161Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v162Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v163Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v164Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v165Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v166Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v167Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v168Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v169Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v170Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v171Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v172Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v173Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v174Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v175Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v176Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v177Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v178Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v179Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v180Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v181Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v182Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v183Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v184Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v185Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v186Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v187Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v188Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v189Ev,
+};
+extern VTBL_ENTRY _ZTI6S369C4[];
+extern  VTBL_ENTRY _ZTV6S369C4[];
+Class_Descriptor cd_S369C4 = {  "S369C4", // class name
+  bases_S369C4, 2,
+  &(vtc_S369C4[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(1264,1008), // object size
+  NSPAIRA(_ZTI6S369C4),ABISELECT(24,12), //typeinfo_var
+  NSPAIRA(_ZTV6S369C4),191, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  2, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S369C55_nt  : S938C27 {
+};
+//SIG(-1 S369C55_nt) C1{ BC2{ m Fp Fi FC3{ m} FC4{ m}}}
+
+
+
+//skip512 S369C55_nt
+
+#else // __cplusplus
+
+extern Class_Descriptor cd_S938C27;
+static Base_Class bases_S369C55_nt[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S938C27,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    -1, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S369C46  {
+  ::S928C67 s369c46f0;
+  ::S927C32_nt s369c46f1;
+  ::S927C69 s369c46f2;
+  ::S369C55_nt s369c46f3;
+  void  mf369c46(); // _ZN7S369C468mf369c46Ev
+};
+//SIG(-1 S369C46) C1{ m FC2{ m Fp Fi[2] FC3{ m}} FC4{ BC5{ m Fp Fi FC6{ m} FC7{ m}}} FC8{ m Fp Fi[2] FC6} FC9{ BC10{ m Fp Fi FC11{ m} FC12{ m}}}}
+
+
+void  S369C46 ::mf369c46(){}
+
+static void Test_S369C46()
+{
+  {
+    init_simple_test("S369C46");
+    S369C46 lv;
+    check2(sizeof(lv), ABISELECT(80,56), "sizeof(S369C46)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S369C46)");
+    check_field_offset(lv, s369c46f0, 0, "S369C46.s369c46f0");
+    check_field_offset(lv, s369c46f1, ABISELECT(24,16), "S369C46.s369c46f1");
+    check_field_offset(lv, s369c46f2, ABISELECT(40,28), "S369C46.s369c46f2");
+    check_field_offset(lv, s369c46f3, ABISELECT(64,44), "S369C46.s369c46f3");
+  }
+}
+static Arrange_To_Call_Me vS369C46(Test_S369C46, "S369C46", ABISELECT(80,56));
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S369C59_nt  {
+  int s369c59f0[2];
+  void  mf369c59(); // _ZN10S369C59_nt8mf369c59Ev
+};
+//SIG(-1 S369C59_nt) C1{ m Fi[2]}
+
+
+void  S369C59_nt ::mf369c59(){}
+
+//skip512 S369C59_nt
+
+#else // __cplusplus
+
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S369C3  : S369C4 {
+  int s369c3f0;
+  ::S938C40_nt s369c3f1;
+  ::S938C40_nt s369c3f2;
+  ::S938C60 s369c3f3;
+  int s369c3f4[2];
+  void *s369c3f5[4];
+  ::S369C46 s369c3f6;
+  int s369c3f7[2];
+  void *s369c3f8[3];
+  ::S938C40_nt s369c3f9;
+  int s369c3f10;
+  void *s369c3f11;
+  char s369c3f12;
+  ::S938C40_nt s369c3f13;
+  char s369c3f14;
+  int s369c3f15;
+  __tsi64 s369c3f16;
+  ::S369C59_nt s369c3f17;
+  char s369c3f18;
+  ::S369C59_nt s369c3f19;
+  void *s369c3f20;
+  char s369c3f21[2];
+  ::S938C40_nt s369c3f22;
+  char s369c3f23;
+  virtual  ~S369C3(); // _ZN6S369C3D1Ev
+  virtual void  v190(); // _ZN6S369C34v190Ev
+  virtual void  v191(); // _ZN6S369C34v191Ev
+  virtual void  v192(); // _ZN6S369C34v192Ev
+  virtual void  v193(); // _ZN6S369C34v193Ev
+  virtual void  v194(); // _ZN6S369C34v194Ev
+  virtual void  v195(); // _ZN6S369C34v195Ev
+  virtual void  v196(); // _ZN6S369C34v196Ev
+  virtual void  v197(); // _ZN6S369C34v197Ev
+  virtual void  v198(); // _ZN6S369C34v198Ev
+  virtual void  v199(); // _ZN6S369C34v199Ev
+  virtual void  v200(); // _ZN6S369C34v200Ev
+  virtual void  v201(); // _ZN6S369C34v201Ev
+  virtual void  v202(); // _ZN6S369C34v202Ev
+  virtual void  v203(); // _ZN6S369C34v203Ev
+  virtual void  v204(); // _ZN6S369C34v204Ev
+  virtual void  v205(); // _ZN6S369C34v205Ev
+  virtual void  v206(); // _ZN6S369C34v206Ev
+  virtual void  v207(); // _ZN6S369C34v207Ev
+  virtual void  v208(); // _ZN6S369C34v208Ev
+  virtual void  v209(); // _ZN6S369C34v209Ev
+  virtual void  v210(); // _ZN6S369C34v210Ev
+  virtual void  v211(); // _ZN6S369C34v211Ev
+  virtual void  v212(); // _ZN6S369C34v212Ev
+  virtual void  v213(); // _ZN6S369C34v213Ev
+  virtual void  v214(); // _ZN6S369C34v214Ev
+  virtual void  v215(); // _ZN6S369C34v215Ev
+  virtual void  v216(); // _ZN6S369C34v216Ev
+  virtual void  v217(); // _ZN6S369C34v217Ev
+  virtual void  v218(); // _ZN6S369C34v218Ev
+  virtual void  v219(); // _ZN6S369C34v219Ev
+  virtual void  v220(); // _ZN6S369C34v220Ev
+  virtual void  v221(); // _ZN6S369C34v221Ev
+  virtual void  v222(); // _ZN6S369C34v222Ev
+  S369C3(); // tgen
+};
+//SIG(-1 S369C3) C1{ BC2{ BC3{ BC4{ vd v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53 v54 v55 v56 Fp Fi FC5{ BC6{ m Fp Fi FC7{ m} FC8{ m}}} FC9{ BC10{ m Fp Fi FC11{ m} FC12{ m}}} Fi} vd v57 v58 v59 v60 v61 v62 v63 v64 v65 v66 v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 v78 v79 v80 v81 v82 v83 v84 v85 v86 v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 v102 v103 v104 v105 v106 v107 v108 v109 v110 v111 v112 v113 v114 v115 v116 v117 v118 v119 v120 v121 v122 v123 v124 v125 v126 v127 v128 v129 v130 v131 v132 v133 v134 FC13{ m FC14{ m FL[2]}} FC13 FC13 FC15{ m FC14} FC16{ m FC15} FC17{ m FC15 FC15 FC15 FC15} FC17 FC13 FC13 FC13 Fp FC16 FC18{ m FC19{ m Fp Fi FC20{ m}} Fi} Fi Fp[7] FC21{ m Fp Fi FC8 FC22{ m}} Fi:8 Fi:8 Fi:16 Fi:16 Fi:8 Fi:8 Fi:8 Fi:32 Fi:8 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc!
 :1 Fc:1 F
 c:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fi[7] Fp[6] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 FC23{ BC24{ m Fp Fi FC25{ m} FC25}} Fp[4] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:16 Fi:16 Fi:16 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:8 Fi:8 Fi:8 Fp[7] FC26{ m Fp Fi[2] FC7} Fp[2] Fi:16 Fi:16 FC27{ BC10} FC28{ m Fp Fi[2] FC12} FC29{ m Fp Fi[2] FC22} Fp[2] Fi:24 Fi:8 Fp} vd v135 v136 v137 v138 v139 v140 v141 v142 v143 v144 v145 v146 v147 v148 v149 v150 v151 v152 v153 v154 v155 v156 v157 v158 v159 v160 v161 v162 v163 v164 v165 v166 v167 v168 v169 v170 v171 v172 v173 v174 v175 v176 v177 v178 v179 v180 v181 v182 v183 v184 v185 v186 v187 v188 v189 v190 v191 v192 v193 v194 v195 v196 v197 v198 v199 v200 v201 v202 v203 v204 v205 v206 v207 v208 v209 v210 v211 v212 v213 v214 v215 v216 v217 v218 v219 v220 Fi[2] FC30{ m FC31{ m FL}} Fp[4] FC13 FC13 FC13 FC30 FC13 FC30 FC30 FC13 FC13 FC13 FC30 FC13 FC13 Fi[7] FC16 FC30 FC30 FC30 Fp Fi Fp[4] Fc[2] FC6 Fi[8] Fc[6] Fi Fc !
 Fi[2] Fc[
 2]} vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 v33 Fi FC30 FC30 FC13 Fi[2] Fp[4] FC32{ m FC33{ m Fp Fi[2] FC22} FC34{ BC6} FC35{ m Fp Fi[2] FC7} FC36{ BC10}} Fi[2] Fp[3] FC30 Fi Fp Fc FC30 Fc Fi FL FC37{ m Fi[2]} Fc FC37 Fp Fc[2] FC30 Fc}
+
+
+ S369C3 ::~S369C3(){ note_dtor("S369C3", this);}
+void  S369C3 ::v190(){vfunc_called(this, "_ZN6S369C34v190Ev");}
+void  S369C3 ::v191(){vfunc_called(this, "_ZN6S369C34v191Ev");}
+void  S369C3 ::v192(){vfunc_called(this, "_ZN6S369C34v192Ev");}
+void  S369C3 ::v193(){vfunc_called(this, "_ZN6S369C34v193Ev");}
+void  S369C3 ::v194(){vfunc_called(this, "_ZN6S369C34v194Ev");}
+void  S369C3 ::v195(){vfunc_called(this, "_ZN6S369C34v195Ev");}
+void  S369C3 ::v196(){vfunc_called(this, "_ZN6S369C34v196Ev");}
+void  S369C3 ::v197(){vfunc_called(this, "_ZN6S369C34v197Ev");}
+void  S369C3 ::v198(){vfunc_called(this, "_ZN6S369C34v198Ev");}
+void  S369C3 ::v199(){vfunc_called(this, "_ZN6S369C34v199Ev");}
+void  S369C3 ::v200(){vfunc_called(this, "_ZN6S369C34v200Ev");}
+void  S369C3 ::v201(){vfunc_called(this, "_ZN6S369C34v201Ev");}
+void  S369C3 ::v202(){vfunc_called(this, "_ZN6S369C34v202Ev");}
+void  S369C3 ::v203(){vfunc_called(this, "_ZN6S369C34v203Ev");}
+void  S369C3 ::v204(){vfunc_called(this, "_ZN6S369C34v204Ev");}
+void  S369C3 ::v205(){vfunc_called(this, "_ZN6S369C34v205Ev");}
+void  S369C3 ::v206(){vfunc_called(this, "_ZN6S369C34v206Ev");}
+void  S369C3 ::v207(){vfunc_called(this, "_ZN6S369C34v207Ev");}
+void  S369C3 ::v208(){vfunc_called(this, "_ZN6S369C34v208Ev");}
+void  S369C3 ::v209(){vfunc_called(this, "_ZN6S369C34v209Ev");}
+void  S369C3 ::v210(){vfunc_called(this, "_ZN6S369C34v210Ev");}
+void  S369C3 ::v211(){vfunc_called(this, "_ZN6S369C34v211Ev");}
+void  S369C3 ::v212(){vfunc_called(this, "_ZN6S369C34v212Ev");}
+void  S369C3 ::v213(){vfunc_called(this, "_ZN6S369C34v213Ev");}
+void  S369C3 ::v214(){vfunc_called(this, "_ZN6S369C34v214Ev");}
+void  S369C3 ::v215(){vfunc_called(this, "_ZN6S369C34v215Ev");}
+void  S369C3 ::v216(){vfunc_called(this, "_ZN6S369C34v216Ev");}
+void  S369C3 ::v217(){vfunc_called(this, "_ZN6S369C34v217Ev");}
+void  S369C3 ::v218(){vfunc_called(this, "_ZN6S369C34v218Ev");}
+void  S369C3 ::v219(){vfunc_called(this, "_ZN6S369C34v219Ev");}
+void  S369C3 ::v220(){vfunc_called(this, "_ZN6S369C34v220Ev");}
+void  S369C3 ::v221(){vfunc_called(this, "_ZN6S369C34v221Ev");}
+void  S369C3 ::v222(){vfunc_called(this, "_ZN6S369C34v222Ev");}
+S369C3 ::S369C3(){ note_ctor("S369C3", this);} // tgen
+
+static void Test_S369C3()
+{
+  extern Class_Descriptor cd_S369C3;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(196,308)];
+    init_test(&cd_S369C3, buf);
+    S369C3 *dp, &lv = *(dp=new (buf) S369C3());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(1560,1228), "sizeof(S369C3)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S369C3)");
+    check_base_class_offset(lv, (S369C6*)(S369C5*)(S369C4*), 0, "S369C3");
+    check_base_class_offset(lv, (S369C5*)(S369C4*), 0, "S369C3");
+    check_base_class_offset(lv, (S369C4*), 0, "S369C3");
+    check_field_offset(lv, s369c3f0, ABISELECT(1260,1008), "S369C3.s369c3f0");
+    check_field_offset(lv, s369c3f1, ABISELECT(1264,1012), "S369C3.s369c3f1");
+    check_field_offset(lv, s369c3f2, ABISELECT(1272,1020), "S369C3.s369c3f2");
+    check_field_offset(lv, s369c3f3, ABISELECT(1280,1028), "S369C3.s369c3f3");
+    check_field_offset(lv, s369c3f4, ABISELECT(1296,1044), "S369C3.s369c3f4");
+    check_field_offset(lv, s369c3f5, ABISELECT(1304,1052), "S369C3.s369c3f5");
+    check_field_offset(lv, s369c3f6, ABISELECT(1336,1068), "S369C3.s369c3f6");
+    check_field_offset(lv, s369c3f7, ABISELECT(1416,1124), "S369C3.s369c3f7");
+    check_field_offset(lv, s369c3f8, ABISELECT(1424,1132), "S369C3.s369c3f8");
+    check_field_offset(lv, s369c3f9, ABISELECT(1448,1144), "S369C3.s369c3f9");
+    check_field_offset(lv, s369c3f10, ABISELECT(1456,1152), "S369C3.s369c3f10");
+    check_field_offset(lv, s369c3f11, ABISELECT(1464,1156), "S369C3.s369c3f11");
+    check_field_offset(lv, s369c3f12, ABISELECT(1472,1160), "S369C3.s369c3f12");
+    check_field_offset(lv, s369c3f13, ABISELECT(1480,1164), "S369C3.s369c3f13");
+    check_field_offset(lv, s369c3f14, ABISELECT(1488,1172), "S369C3.s369c3f14");
+    check_field_offset(lv, s369c3f15, ABISELECT(1492,1176), "S369C3.s369c3f15");
+    check_field_offset(lv, s369c3f16, ABISELECT(1496,1180), "S369C3.s369c3f16");
+    check_field_offset(lv, s369c3f17, ABISELECT(1504,1188), "S369C3.s369c3f17");
+    check_field_offset(lv, s369c3f18, ABISELECT(1512,1196), "S369C3.s369c3f18");
+    check_field_offset(lv, s369c3f19, ABISELECT(1516,1200), "S369C3.s369c3f19");
+    check_field_offset(lv, s369c3f20, ABISELECT(1528,1208), "S369C3.s369c3f20");
+    check_field_offset(lv, s369c3f21, ABISELECT(1536,1212), "S369C3.s369c3f21");
+    check_field_offset(lv, s369c3f22, ABISELECT(1544,1216), "S369C3.s369c3f22");
+    check_field_offset(lv, s369c3f23, ABISELECT(1552,1224), "S369C3.s369c3f23");
+    test_class_info(&lv, &cd_S369C3);
+    dp->~S369C3();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS369C3(Test_S369C3, "S369C3", ABISELECT(1560,1228));
+
+#else // __cplusplus
+
+extern void _ZN6S369C3C1Ev();
+extern void _ZN6S369C3D1Ev();
+Name_Map name_map_S369C3[] = {
+  NSPAIR(_ZN6S369C3C1Ev),
+  NSPAIR(_ZN6S369C3D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S369C6;
+extern VTBL_ENTRY _ZTI6S369C6[];
+extern  VTBL_ENTRY _ZTV6S369C6[];
+extern Class_Descriptor cd_S369C5;
+extern VTBL_ENTRY _ZTI6S369C5[];
+extern  VTBL_ENTRY _ZTV6S369C5[];
+extern Class_Descriptor cd_S369C4;
+extern VTBL_ENTRY _ZTI6S369C4[];
+extern  VTBL_ENTRY _ZTV6S369C4[];
+static Base_Class bases_S369C3[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S369C6,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    1, //immediately_derived
+  0, 0},
+  {&cd_S369C5,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    2, //init_seq
+    2, //immediately_derived
+  0, 0},
+  {&cd_S369C4,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    3, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI6S369C3[];
+extern void _ZN6S369C3D1Ev();
+extern void _ZN6S369C3D0Ev();
+extern void _ZN6S369C62v3Ev();
+extern void _ZN6S369C62v4Ev();
+extern void _ZN6S369C62v5Ev();
+extern void _ZN6S369C62v6Ev();
+extern void _ZN6S369C62v7Ev();
+extern void _ZN6S369C62v8Ev();
+extern void _ZN6S369C62v9Ev();
+extern void _ZN6S369C63v10Ev();
+extern void _ZN6S369C63v11Ev();
+extern void _ZN6S369C63v12Ev();
+extern void _ZN6S369C63v13Ev();
+extern void _ZN6S369C63v14Ev();
+extern void _ZN6S369C63v15Ev();
+extern void _ZN6S369C63v16Ev();
+extern void _ZN6S369C63v17Ev();
+extern void _ZN6S369C63v18Ev();
+extern void _ZN6S369C63v19Ev();
+extern void _ZN6S369C63v20Ev();
+extern void _ZN6S369C63v21Ev();
+extern void _ZN6S369C63v22Ev();
+extern void _ZN6S369C63v23Ev();
+extern void _ZN6S369C63v24Ev();
+extern void _ZN6S369C63v25Ev();
+extern void _ZN6S369C53v26Ev();
+extern void _ZN6S369C53v27Ev();
+extern void _ZN6S369C53v28Ev();
+extern void _ZN6S369C53v29Ev();
+extern void _ZN6S369C53v30Ev();
+extern void _ZN6S369C53v31Ev();
+extern void _ZN6S369C53v32Ev();
+extern void _ZN6S369C53v33Ev();
+extern void _ZN6S369C53v34Ev();
+extern void _ZN6S369C53v35Ev();
+extern void _ZN6S369C53v36Ev();
+extern void _ZN6S369C53v37Ev();
+extern void _ZN6S369C53v38Ev();
+extern void _ZN6S369C53v39Ev();
+extern void _ZN6S369C53v40Ev();
+extern void _ZN6S369C53v41Ev();
+extern void _ZN6S369C53v42Ev();
+extern void _ZN6S369C53v43Ev();
+extern void _ZN6S369C53v44Ev();
+extern void _ZN6S369C53v45Ev();
+extern void _ZN6S369C53v46Ev();
+extern void _ZN6S369C53v47Ev();
+extern void _ZN6S369C53v48Ev();
+extern void _ZN6S369C53v49Ev();
+extern void _ZN6S369C53v50Ev();
+extern void _ZN6S369C53v51Ev();
+extern void _ZN6S369C53v52Ev();
+extern void _ZN6S369C53v53Ev();
+extern void _ZN6S369C53v54Ev();
+extern void _ZN6S369C53v55Ev();
+extern void _ZN6S369C53v56Ev();
+extern void _ZN6S369C53v57Ev();
+extern void _ZN6S369C53v58Ev();
+extern void _ZN6S369C53v59Ev();
+extern void _ZN6S369C53v60Ev();
+extern void _ZN6S369C53v61Ev();
+extern void _ZN6S369C53v62Ev();
+extern void _ZN6S369C53v63Ev();
+extern void _ZN6S369C53v64Ev();
+extern void _ZN6S369C53v65Ev();
+extern void _ZN6S369C53v66Ev();
+extern void _ZN6S369C53v67Ev();
+extern void _ZN6S369C53v68Ev();
+extern void _ZN6S369C53v69Ev();
+extern void _ZN6S369C53v70Ev();
+extern void _ZN6S369C53v71Ev();
+extern void _ZN6S369C53v72Ev();
+extern void _ZN6S369C53v73Ev();
+extern void _ZN6S369C53v74Ev();
+extern void _ZN6S369C53v75Ev();
+extern void _ZN6S369C53v76Ev();
+extern void _ZN6S369C53v77Ev();
+extern void _ZN6S369C53v78Ev();
+extern void _ZN6S369C53v79Ev();
+extern void _ZN6S369C53v80Ev();
+extern void _ZN6S369C53v81Ev();
+extern void _ZN6S369C53v82Ev();
+extern void _ZN6S369C53v83Ev();
+extern void _ZN6S369C53v84Ev();
+extern void _ZN6S369C53v85Ev();
+extern void _ZN6S369C53v86Ev();
+extern void _ZN6S369C53v87Ev();
+extern void _ZN6S369C53v88Ev();
+extern void _ZN6S369C53v89Ev();
+extern void _ZN6S369C53v90Ev();
+extern void _ZN6S369C53v91Ev();
+extern void _ZN6S369C53v92Ev();
+extern void _ZN6S369C53v93Ev();
+extern void _ZN6S369C53v94Ev();
+extern void _ZN6S369C53v95Ev();
+extern void _ZN6S369C53v96Ev();
+extern void _ZN6S369C53v97Ev();
+extern void _ZN6S369C53v98Ev();
+extern void _ZN6S369C53v99Ev();
+extern void _ZN6S369C54v100Ev();
+extern void _ZN6S369C54v101Ev();
+extern void _ZN6S369C54v102Ev();
+extern void _ZN6S369C54v103Ev();
+extern void _ZN6S369C44v104Ev();
+extern void _ZN6S369C44v105Ev();
+extern void _ZN6S369C44v106Ev();
+extern void _ZN6S369C44v107Ev();
+extern void _ZN6S369C44v108Ev();
+extern void _ZN6S369C44v109Ev();
+extern void _ZN6S369C44v110Ev();
+extern void _ZN6S369C44v111Ev();
+extern void _ZN6S369C44v112Ev();
+extern void _ZN6S369C44v113Ev();
+extern void _ZN6S369C44v114Ev();
+extern void _ZN6S369C44v115Ev();
+extern void _ZN6S369C44v116Ev();
+extern void _ZN6S369C44v117Ev();
+extern void _ZN6S369C44v118Ev();
+extern void _ZN6S369C44v119Ev();
+extern void _ZN6S369C44v120Ev();
+extern void _ZN6S369C44v121Ev();
+extern void _ZN6S369C44v122Ev();
+extern void _ZN6S369C44v123Ev();
+extern void _ZN6S369C44v124Ev();
+extern void _ZN6S369C44v125Ev();
+extern void _ZN6S369C44v126Ev();
+extern void _ZN6S369C44v127Ev();
+extern void _ZN6S369C44v128Ev();
+extern void _ZN6S369C44v129Ev();
+extern void _ZN6S369C44v130Ev();
+extern void _ZN6S369C44v131Ev();
+extern void _ZN6S369C44v132Ev();
+extern void _ZN6S369C44v133Ev();
+extern void _ZN6S369C44v134Ev();
+extern void _ZN6S369C44v135Ev();
+extern void _ZN6S369C44v136Ev();
+extern void _ZN6S369C44v137Ev();
+extern void _ZN6S369C44v138Ev();
+extern void _ZN6S369C44v139Ev();
+extern void _ZN6S369C44v140Ev();
+extern void _ZN6S369C44v141Ev();
+extern void _ZN6S369C44v142Ev();
+extern void _ZN6S369C44v143Ev();
+extern void _ZN6S369C44v144Ev();
+extern void _ZN6S369C44v145Ev();
+extern void _ZN6S369C44v146Ev();
+extern void _ZN6S369C44v147Ev();
+extern void _ZN6S369C44v148Ev();
+extern void _ZN6S369C44v149Ev();
+extern void _ZN6S369C44v150Ev();
+extern void _ZN6S369C44v151Ev();
+extern void _ZN6S369C44v152Ev();
+extern void _ZN6S369C44v153Ev();
+extern void _ZN6S369C44v154Ev();
+extern void _ZN6S369C44v155Ev();
+extern void _ZN6S369C44v156Ev();
+extern void _ZN6S369C44v157Ev();
+extern void _ZN6S369C44v158Ev();
+extern void _ZN6S369C44v159Ev();
+extern void _ZN6S369C44v160Ev();
+extern void _ZN6S369C44v161Ev();
+extern void _ZN6S369C44v162Ev();
+extern void _ZN6S369C44v163Ev();
+extern void _ZN6S369C44v164Ev();
+extern void _ZN6S369C44v165Ev();
+extern void _ZN6S369C44v166Ev();
+extern void _ZN6S369C44v167Ev();
+extern void _ZN6S369C44v168Ev();
+extern void _ZN6S369C44v169Ev();
+extern void _ZN6S369C44v170Ev();
+extern void _ZN6S369C44v171Ev();
+extern void _ZN6S369C44v172Ev();
+extern void _ZN6S369C44v173Ev();
+extern void _ZN6S369C44v174Ev();
+extern void _ZN6S369C44v175Ev();
+extern void _ZN6S369C44v176Ev();
+extern void _ZN6S369C44v177Ev();
+extern void _ZN6S369C44v178Ev();
+extern void _ZN6S369C44v179Ev();
+extern void _ZN6S369C44v180Ev();
+extern void _ZN6S369C44v181Ev();
+extern void _ZN6S369C44v182Ev();
+extern void _ZN6S369C44v183Ev();
+extern void _ZN6S369C44v184Ev();
+extern void _ZN6S369C44v185Ev();
+extern void _ZN6S369C44v186Ev();
+extern void _ZN6S369C44v187Ev();
+extern void _ZN6S369C44v188Ev();
+extern void _ZN6S369C44v189Ev();
+extern void _ZN6S369C34v190Ev();
+extern void _ZN6S369C34v191Ev();
+extern void _ZN6S369C34v192Ev();
+extern void _ZN6S369C34v193Ev();
+extern void _ZN6S369C34v194Ev();
+extern void _ZN6S369C34v195Ev();
+extern void _ZN6S369C34v196Ev();
+extern void _ZN6S369C34v197Ev();
+extern void _ZN6S369C34v198Ev();
+extern void _ZN6S369C34v199Ev();
+extern void _ZN6S369C34v200Ev();
+extern void _ZN6S369C34v201Ev();
+extern void _ZN6S369C34v202Ev();
+extern void _ZN6S369C34v203Ev();
+extern void _ZN6S369C34v204Ev();
+extern void _ZN6S369C34v205Ev();
+extern void _ZN6S369C34v206Ev();
+extern void _ZN6S369C34v207Ev();
+extern void _ZN6S369C34v208Ev();
+extern void _ZN6S369C34v209Ev();
+extern void _ZN6S369C34v210Ev();
+extern void _ZN6S369C34v211Ev();
+extern void _ZN6S369C34v212Ev();
+extern void _ZN6S369C34v213Ev();
+extern void _ZN6S369C34v214Ev();
+extern void _ZN6S369C34v215Ev();
+extern void _ZN6S369C34v216Ev();
+extern void _ZN6S369C34v217Ev();
+extern void _ZN6S369C34v218Ev();
+extern void _ZN6S369C34v219Ev();
+extern void _ZN6S369C34v220Ev();
+extern void _ZN6S369C34v221Ev();
+extern void _ZN6S369C34v222Ev();
+static  VTBL_ENTRY vtc_S369C3[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S369C3[0]),
+  (VTBL_ENTRY)&_ZN6S369C3D1Ev,
+  (VTBL_ENTRY)&_ZN6S369C3D0Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v3Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v4Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v5Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v6Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v7Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v8Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v9Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v10Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v11Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v12Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v13Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v14Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v15Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v16Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v17Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v18Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v19Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v20Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v21Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v22Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v23Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v24Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v25Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v26Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v27Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v28Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v29Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v30Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v31Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v32Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v33Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v34Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v35Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v36Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v37Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v38Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v39Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v40Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v41Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v42Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v43Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v44Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v45Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v46Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v47Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v48Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v49Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v50Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v51Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v52Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v53Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v54Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v55Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v56Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v57Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v58Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v59Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v60Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v61Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v62Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v63Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v64Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v65Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v77Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v78Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v79Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v80Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v81Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v82Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v83Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v84Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v85Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v86Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v87Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v88Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v89Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v90Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v91Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v92Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v93Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v94Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v95Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v96Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v97Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v98Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v99Ev,
+  (VTBL_ENTRY)&_ZN6S369C54v100Ev,
+  (VTBL_ENTRY)&_ZN6S369C54v101Ev,
+  (VTBL_ENTRY)&_ZN6S369C54v102Ev,
+  (VTBL_ENTRY)&_ZN6S369C54v103Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v104Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v105Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v106Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v107Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v108Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v109Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v110Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v111Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v112Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v113Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v114Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v115Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v116Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v117Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v118Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v119Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v120Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v121Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v122Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v123Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v124Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v125Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v126Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v127Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v128Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v129Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v130Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v131Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v132Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v133Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v134Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v135Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v136Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v137Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v138Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v139Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v140Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v141Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v142Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v143Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v144Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v145Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v146Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v147Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v148Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v149Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v150Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v151Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v152Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v153Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v154Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v155Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v156Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v157Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v158Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v159Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v160Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v161Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v162Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v163Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v164Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v165Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v166Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v167Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v168Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v169Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v170Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v171Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v172Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v173Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v174Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v175Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v176Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v177Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v178Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v179Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v180Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v181Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v182Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v183Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v184Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v185Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v186Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v187Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v188Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v189Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v190Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v191Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v192Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v193Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v194Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v195Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v196Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v197Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v198Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v199Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v200Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v201Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v202Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v203Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v204Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v205Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v206Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v207Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v208Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v209Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v210Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v211Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v212Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v213Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v214Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v215Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v216Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v217Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v218Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v219Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v220Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v221Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v222Ev,
+};
+extern VTBL_ENTRY _ZTI6S369C3[];
+extern  VTBL_ENTRY _ZTV6S369C3[];
+Class_Descriptor cd_S369C3 = {  "S369C3", // class name
+  bases_S369C3, 3,
+  &(vtc_S369C3[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(1560,1228), // object size
+  NSPAIRA(_ZTI6S369C3),ABISELECT(24,12), //typeinfo_var
+  NSPAIRA(_ZTV6S369C3),224, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  3, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S369C2  : S369C3 {
+  ::S927C57_nt s369c2f0;
+  virtual  ~S369C2(); // _ZN6S369C2D1Ev
+  virtual void  v223(); // _ZN6S369C24v223Ev
+  virtual void  v224(); // _ZN6S369C24v224Ev
+  virtual void  v225(); // _ZN6S369C24v225Ev
+  virtual void  v226(); // _ZN6S369C24v226Ev
+  virtual void  v227(); // _ZN6S369C24v227Ev
+  virtual void  v228(); // _ZN6S369C24v228Ev
+  virtual void  v229(); // _ZN6S369C24v229Ev
+  virtual void  v230(); // _ZN6S369C24v230Ev
+  S369C2(); // tgen
+};
+//SIG(-1 S369C2) C1{ BC2{ BC3{ BC4{ BC5{ vd v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 Fp Fi FC6{ BC7{ m Fp Fi FC8{ m} FC9{ m}}} FC10{ BC11{ m Fp Fi FC12{ m} FC13{ m}}} Fi} vd v32 v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53 v54 v55 v56 v57 v58 v59 v60 v61 v62 v63 v64 v65 v66 v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 v78 v79 v80 v81 v82 v83 v84 v85 v86 v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 v102 v103 v104 v105 v106 v107 v108 v109 FC14{ m FC15{ m FL[2]}} FC14 FC14 FC16{ m FC15} FC17{ m FC16} FC18{ m FC16 FC16 FC16 FC16} FC18 FC14 FC14 FC14 Fp FC17 FC19{ m FC20{ m Fp Fi FC21{ m}} Fi} Fi Fp[7] FC22{ m Fp Fi FC9 FC23{ m}} Fi:8 Fi:8 Fi:16 Fi:16 Fi:8 Fi:8 Fi:8 Fi:32 Fi:8 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc!
 :1 Fc:1 F
 c:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fi[7] Fp[6] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 FC24{ BC25{ m Fp Fi FC26{ m} FC26}} Fp[4] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:16 Fi:16 Fi:16 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:8 Fi:8 Fi:8 Fp[7] FC27{ m Fp Fi[2] FC8} Fp[2] Fi:16 Fi:16 FC28{ BC11} FC29{ m Fp Fi[2] FC13} FC30{ m Fp Fi[2] FC23} Fp[2] Fi:24 Fi:8 Fp} vd v110 v111 v112 v113 v114 v115 v116 v117 v118 v119 v120 v121 v122 v123 v124 v125 v126 v127 v128 v129 v130 v131 v132 v133 v134 v135 v136 v137 v138 v139 v140 v141 v142 v143 v144 v145 v146 v147 v148 v149 v150 v151 v152 v153 v154 v155 v156 v157 v158 v159 v160 v161 v162 v163 v164 v165 v166 v167 v168 v169 v170 v171 v172 v173 v174 v175 v176 v177 v178 v179 v180 v181 v182 v183 v184 v185 v186 v187 v188 v189 v190 v191 v192 v193 v194 v195 Fi[2] FC31{ m FC32{ m FL}} Fp[4] FC14 FC14 FC14 FC31 FC14 FC31 FC31 FC14 FC14 FC14 FC31 FC14 FC14 Fi[7] FC17 FC31 FC31 FC31 Fp Fi Fp[4] Fc[2] FC7 Fi[8] Fc[6] Fi Fc Fi[2] Fc[2]} vd v196!
  v197 v19
 8 v199 v200 v201 v202 v203 v204 v205 v206 v207 v208 v209 v210 v211 v212 v213 v214 v215 v216 v217 v218 v219 v220 v221 v222 v223 v224 v225 v226 v227 v228 Fi FC31 FC31 FC14 Fi[2] Fp[4] FC33{ m FC34{ m Fp Fi[2] FC23} FC35{ BC7} FC36{ m Fp Fi[2] FC8} FC37{ BC11}} Fi[2] Fp[3] FC31 Fi Fp Fc FC31 Fc Fi FL FC38{ m Fi[2]} Fc FC38 Fp Fc[2] FC31 Fc} vd v1 v2 v3 v4 v5 v6 v7 v8 FC39{ m Fp}}
+
+
+ S369C2 ::~S369C2(){ note_dtor("S369C2", this);}
+void  S369C2 ::v223(){vfunc_called(this, "_ZN6S369C24v223Ev");}
+void  S369C2 ::v224(){vfunc_called(this, "_ZN6S369C24v224Ev");}
+void  S369C2 ::v225(){vfunc_called(this, "_ZN6S369C24v225Ev");}
+void  S369C2 ::v226(){vfunc_called(this, "_ZN6S369C24v226Ev");}
+void  S369C2 ::v227(){vfunc_called(this, "_ZN6S369C24v227Ev");}
+void  S369C2 ::v228(){vfunc_called(this, "_ZN6S369C24v228Ev");}
+void  S369C2 ::v229(){vfunc_called(this, "_ZN6S369C24v229Ev");}
+void  S369C2 ::v230(){vfunc_called(this, "_ZN6S369C24v230Ev");}
+S369C2 ::S369C2(){ note_ctor("S369C2", this);} // tgen
+
+static void Test_S369C2()
+{
+  extern Class_Descriptor cd_S369C2;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(197,309)];
+    init_test(&cd_S369C2, buf);
+    S369C2 *dp, &lv = *(dp=new (buf) S369C2());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(1568,1232), "sizeof(S369C2)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S369C2)");
+    check_base_class_offset(lv, (S369C6*)(S369C5*)(S369C4*)(S369C3*), 0, "S369C2");
+    check_base_class_offset(lv, (S369C5*)(S369C4*)(S369C3*), 0, "S369C2");
+    check_base_class_offset(lv, (S369C4*)(S369C3*), 0, "S369C2");
+    check_base_class_offset(lv, (S369C3*), 0, "S369C2");
+    check_field_offset(lv, s369c2f0, ABISELECT(1560,1228), "S369C2.s369c2f0");
+    test_class_info(&lv, &cd_S369C2);
+    dp->~S369C2();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS369C2(Test_S369C2, "S369C2", ABISELECT(1568,1232));
+
+#else // __cplusplus
+
+extern void _ZN6S369C2C1Ev();
+extern void _ZN6S369C2D1Ev();
+Name_Map name_map_S369C2[] = {
+  NSPAIR(_ZN6S369C2C1Ev),
+  NSPAIR(_ZN6S369C2D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S369C6;
+extern VTBL_ENTRY _ZTI6S369C6[];
+extern  VTBL_ENTRY _ZTV6S369C6[];
+extern Class_Descriptor cd_S369C5;
+extern VTBL_ENTRY _ZTI6S369C5[];
+extern  VTBL_ENTRY _ZTV6S369C5[];
+extern Class_Descriptor cd_S369C4;
+extern VTBL_ENTRY _ZTI6S369C4[];
+extern  VTBL_ENTRY _ZTV6S369C4[];
+extern Class_Descriptor cd_S369C3;
+extern VTBL_ENTRY _ZTI6S369C3[];
+extern  VTBL_ENTRY _ZTV6S369C3[];
+static Base_Class bases_S369C2[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S369C6,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    1, //immediately_derived
+  0, 0},
+  {&cd_S369C5,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    2, //init_seq
+    2, //immediately_derived
+  0, 0},
+  {&cd_S369C4,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    3, //init_seq
+    3, //immediately_derived
+  0, 0},
+  {&cd_S369C3,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    4, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI6S369C2[];
+extern void _ZN6S369C2D1Ev();
+extern void _ZN6S369C2D0Ev();
+extern void _ZN6S369C62v3Ev();
+extern void _ZN6S369C62v4Ev();
+extern void _ZN6S369C62v5Ev();
+extern void _ZN6S369C62v6Ev();
+extern void _ZN6S369C62v7Ev();
+extern void _ZN6S369C62v8Ev();
+extern void _ZN6S369C62v9Ev();
+extern void _ZN6S369C63v10Ev();
+extern void _ZN6S369C63v11Ev();
+extern void _ZN6S369C63v12Ev();
+extern void _ZN6S369C63v13Ev();
+extern void _ZN6S369C63v14Ev();
+extern void _ZN6S369C63v15Ev();
+extern void _ZN6S369C63v16Ev();
+extern void _ZN6S369C63v17Ev();
+extern void _ZN6S369C63v18Ev();
+extern void _ZN6S369C63v19Ev();
+extern void _ZN6S369C63v20Ev();
+extern void _ZN6S369C63v21Ev();
+extern void _ZN6S369C63v22Ev();
+extern void _ZN6S369C63v23Ev();
+extern void _ZN6S369C63v24Ev();
+extern void _ZN6S369C63v25Ev();
+extern void _ZN6S369C53v26Ev();
+extern void _ZN6S369C53v27Ev();
+extern void _ZN6S369C53v28Ev();
+extern void _ZN6S369C53v29Ev();
+extern void _ZN6S369C53v30Ev();
+extern void _ZN6S369C53v31Ev();
+extern void _ZN6S369C53v32Ev();
+extern void _ZN6S369C53v33Ev();
+extern void _ZN6S369C53v34Ev();
+extern void _ZN6S369C53v35Ev();
+extern void _ZN6S369C53v36Ev();
+extern void _ZN6S369C53v37Ev();
+extern void _ZN6S369C53v38Ev();
+extern void _ZN6S369C53v39Ev();
+extern void _ZN6S369C53v40Ev();
+extern void _ZN6S369C53v41Ev();
+extern void _ZN6S369C53v42Ev();
+extern void _ZN6S369C53v43Ev();
+extern void _ZN6S369C53v44Ev();
+extern void _ZN6S369C53v45Ev();
+extern void _ZN6S369C53v46Ev();
+extern void _ZN6S369C53v47Ev();
+extern void _ZN6S369C53v48Ev();
+extern void _ZN6S369C53v49Ev();
+extern void _ZN6S369C53v50Ev();
+extern void _ZN6S369C53v51Ev();
+extern void _ZN6S369C53v52Ev();
+extern void _ZN6S369C53v53Ev();
+extern void _ZN6S369C53v54Ev();
+extern void _ZN6S369C53v55Ev();
+extern void _ZN6S369C53v56Ev();
+extern void _ZN6S369C53v57Ev();
+extern void _ZN6S369C53v58Ev();
+extern void _ZN6S369C53v59Ev();
+extern void _ZN6S369C53v60Ev();
+extern void _ZN6S369C53v61Ev();
+extern void _ZN6S369C53v62Ev();
+extern void _ZN6S369C53v63Ev();
+extern void _ZN6S369C53v64Ev();
+extern void _ZN6S369C53v65Ev();
+extern void _ZN6S369C53v66Ev();
+extern void _ZN6S369C53v67Ev();
+extern void _ZN6S369C53v68Ev();
+extern void _ZN6S369C53v69Ev();
+extern void _ZN6S369C53v70Ev();
+extern void _ZN6S369C53v71Ev();
+extern void _ZN6S369C53v72Ev();
+extern void _ZN6S369C53v73Ev();
+extern void _ZN6S369C53v74Ev();
+extern void _ZN6S369C53v75Ev();
+extern void _ZN6S369C53v76Ev();
+extern void _ZN6S369C53v77Ev();
+extern void _ZN6S369C53v78Ev();
+extern void _ZN6S369C53v79Ev();
+extern void _ZN6S369C53v80Ev();
+extern void _ZN6S369C53v81Ev();
+extern void _ZN6S369C53v82Ev();
+extern void _ZN6S369C53v83Ev();
+extern void _ZN6S369C53v84Ev();
+extern void _ZN6S369C53v85Ev();
+extern void _ZN6S369C53v86Ev();
+extern void _ZN6S369C53v87Ev();
+extern void _ZN6S369C53v88Ev();
+extern void _ZN6S369C53v89Ev();
+extern void _ZN6S369C53v90Ev();
+extern void _ZN6S369C53v91Ev();
+extern void _ZN6S369C53v92Ev();
+extern void _ZN6S369C53v93Ev();
+extern void _ZN6S369C53v94Ev();
+extern void _ZN6S369C53v95Ev();
+extern void _ZN6S369C53v96Ev();
+extern void _ZN6S369C53v97Ev();
+extern void _ZN6S369C53v98Ev();
+extern void _ZN6S369C53v99Ev();
+extern void _ZN6S369C54v100Ev();
+extern void _ZN6S369C54v101Ev();
+extern void _ZN6S369C54v102Ev();
+extern void _ZN6S369C54v103Ev();
+extern void _ZN6S369C44v104Ev();
+extern void _ZN6S369C44v105Ev();
+extern void _ZN6S369C44v106Ev();
+extern void _ZN6S369C44v107Ev();
+extern void _ZN6S369C44v108Ev();
+extern void _ZN6S369C44v109Ev();
+extern void _ZN6S369C44v110Ev();
+extern void _ZN6S369C44v111Ev();
+extern void _ZN6S369C44v112Ev();
+extern void _ZN6S369C44v113Ev();
+extern void _ZN6S369C44v114Ev();
+extern void _ZN6S369C44v115Ev();
+extern void _ZN6S369C44v116Ev();
+extern void _ZN6S369C44v117Ev();
+extern void _ZN6S369C44v118Ev();
+extern void _ZN6S369C44v119Ev();
+extern void _ZN6S369C44v120Ev();
+extern void _ZN6S369C44v121Ev();
+extern void _ZN6S369C44v122Ev();
+extern void _ZN6S369C44v123Ev();
+extern void _ZN6S369C44v124Ev();
+extern void _ZN6S369C44v125Ev();
+extern void _ZN6S369C44v126Ev();
+extern void _ZN6S369C44v127Ev();
+extern void _ZN6S369C44v128Ev();
+extern void _ZN6S369C44v129Ev();
+extern void _ZN6S369C44v130Ev();
+extern void _ZN6S369C44v131Ev();
+extern void _ZN6S369C44v132Ev();
+extern void _ZN6S369C44v133Ev();
+extern void _ZN6S369C44v134Ev();
+extern void _ZN6S369C44v135Ev();
+extern void _ZN6S369C44v136Ev();
+extern void _ZN6S369C44v137Ev();
+extern void _ZN6S369C44v138Ev();
+extern void _ZN6S369C44v139Ev();
+extern void _ZN6S369C44v140Ev();
+extern void _ZN6S369C44v141Ev();
+extern void _ZN6S369C44v142Ev();
+extern void _ZN6S369C44v143Ev();
+extern void _ZN6S369C44v144Ev();
+extern void _ZN6S369C44v145Ev();
+extern void _ZN6S369C44v146Ev();
+extern void _ZN6S369C44v147Ev();
+extern void _ZN6S369C44v148Ev();
+extern void _ZN6S369C44v149Ev();
+extern void _ZN6S369C44v150Ev();
+extern void _ZN6S369C44v151Ev();
+extern void _ZN6S369C44v152Ev();
+extern void _ZN6S369C44v153Ev();
+extern void _ZN6S369C44v154Ev();
+extern void _ZN6S369C44v155Ev();
+extern void _ZN6S369C44v156Ev();
+extern void _ZN6S369C44v157Ev();
+extern void _ZN6S369C44v158Ev();
+extern void _ZN6S369C44v159Ev();
+extern void _ZN6S369C44v160Ev();
+extern void _ZN6S369C44v161Ev();
+extern void _ZN6S369C44v162Ev();
+extern void _ZN6S369C44v163Ev();
+extern void _ZN6S369C44v164Ev();
+extern void _ZN6S369C44v165Ev();
+extern void _ZN6S369C44v166Ev();
+extern void _ZN6S369C44v167Ev();
+extern void _ZN6S369C44v168Ev();
+extern void _ZN6S369C44v169Ev();
+extern void _ZN6S369C44v170Ev();
+extern void _ZN6S369C44v171Ev();
+extern void _ZN6S369C44v172Ev();
+extern void _ZN6S369C44v173Ev();
+extern void _ZN6S369C44v174Ev();
+extern void _ZN6S369C44v175Ev();
+extern void _ZN6S369C44v176Ev();
+extern void _ZN6S369C44v177Ev();
+extern void _ZN6S369C44v178Ev();
+extern void _ZN6S369C44v179Ev();
+extern void _ZN6S369C44v180Ev();
+extern void _ZN6S369C44v181Ev();
+extern void _ZN6S369C44v182Ev();
+extern void _ZN6S369C44v183Ev();
+extern void _ZN6S369C44v184Ev();
+extern void _ZN6S369C44v185Ev();
+extern void _ZN6S369C44v186Ev();
+extern void _ZN6S369C44v187Ev();
+extern void _ZN6S369C44v188Ev();
+extern void _ZN6S369C44v189Ev();
+extern void _ZN6S369C34v190Ev();
+extern void _ZN6S369C34v191Ev();
+extern void _ZN6S369C34v192Ev();
+extern void _ZN6S369C34v193Ev();
+extern void _ZN6S369C34v194Ev();
+extern void _ZN6S369C34v195Ev();
+extern void _ZN6S369C34v196Ev();
+extern void _ZN6S369C34v197Ev();
+extern void _ZN6S369C34v198Ev();
+extern void _ZN6S369C34v199Ev();
+extern void _ZN6S369C34v200Ev();
+extern void _ZN6S369C34v201Ev();
+extern void _ZN6S369C34v202Ev();
+extern void _ZN6S369C34v203Ev();
+extern void _ZN6S369C34v204Ev();
+extern void _ZN6S369C34v205Ev();
+extern void _ZN6S369C34v206Ev();
+extern void _ZN6S369C34v207Ev();
+extern void _ZN6S369C34v208Ev();
+extern void _ZN6S369C34v209Ev();
+extern void _ZN6S369C34v210Ev();
+extern void _ZN6S369C34v211Ev();
+extern void _ZN6S369C34v212Ev();
+extern void _ZN6S369C34v213Ev();
+extern void _ZN6S369C34v214Ev();
+extern void _ZN6S369C34v215Ev();
+extern void _ZN6S369C34v216Ev();
+extern void _ZN6S369C34v217Ev();
+extern void _ZN6S369C34v218Ev();
+extern void _ZN6S369C34v219Ev();
+extern void _ZN6S369C34v220Ev();
+extern void _ZN6S369C34v221Ev();
+extern void _ZN6S369C34v222Ev();
+extern void _ZN6S369C24v223Ev();
+extern void _ZN6S369C24v224Ev();
+extern void _ZN6S369C24v225Ev();
+extern void _ZN6S369C24v226Ev();
+extern void _ZN6S369C24v227Ev();
+extern void _ZN6S369C24v228Ev();
+extern void _ZN6S369C24v229Ev();
+extern void _ZN6S369C24v230Ev();
+static  VTBL_ENTRY vtc_S369C2[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S369C2[0]),
+  (VTBL_ENTRY)&_ZN6S369C2D1Ev,
+  (VTBL_ENTRY)&_ZN6S369C2D0Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v3Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v4Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v5Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v6Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v7Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v8Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v9Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v10Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v11Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v12Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v13Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v14Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v15Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v16Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v17Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v18Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v19Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v20Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v21Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v22Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v23Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v24Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v25Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v26Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v27Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v28Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v29Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v30Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v31Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v32Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v33Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v34Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v35Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v36Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v37Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v38Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v39Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v40Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v41Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v42Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v43Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v44Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v45Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v46Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v47Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v48Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v49Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v50Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v51Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v52Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v53Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v54Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v55Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v56Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v57Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v58Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v59Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v60Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v61Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v62Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v63Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v64Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v65Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v77Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v78Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v79Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v80Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v81Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v82Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v83Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v84Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v85Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v86Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v87Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v88Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v89Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v90Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v91Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v92Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v93Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v94Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v95Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v96Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v97Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v98Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v99Ev,
+  (VTBL_ENTRY)&_ZN6S369C54v100Ev,
+  (VTBL_ENTRY)&_ZN6S369C54v101Ev,
+  (VTBL_ENTRY)&_ZN6S369C54v102Ev,
+  (VTBL_ENTRY)&_ZN6S369C54v103Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v104Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v105Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v106Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v107Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v108Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v109Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v110Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v111Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v112Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v113Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v114Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v115Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v116Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v117Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v118Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v119Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v120Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v121Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v122Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v123Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v124Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v125Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v126Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v127Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v128Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v129Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v130Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v131Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v132Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v133Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v134Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v135Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v136Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v137Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v138Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v139Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v140Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v141Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v142Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v143Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v144Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v145Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v146Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v147Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v148Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v149Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v150Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v151Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v152Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v153Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v154Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v155Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v156Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v157Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v158Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v159Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v160Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v161Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v162Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v163Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v164Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v165Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v166Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v167Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v168Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v169Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v170Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v171Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v172Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v173Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v174Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v175Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v176Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v177Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v178Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v179Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v180Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v181Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v182Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v183Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v184Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v185Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v186Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v187Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v188Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v189Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v190Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v191Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v192Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v193Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v194Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v195Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v196Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v197Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v198Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v199Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v200Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v201Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v202Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v203Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v204Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v205Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v206Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v207Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v208Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v209Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v210Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v211Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v212Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v213Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v214Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v215Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v216Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v217Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v218Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v219Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v220Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v221Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v222Ev,
+  (VTBL_ENTRY)&_ZN6S369C24v223Ev,
+  (VTBL_ENTRY)&_ZN6S369C24v224Ev,
+  (VTBL_ENTRY)&_ZN6S369C24v225Ev,
+  (VTBL_ENTRY)&_ZN6S369C24v226Ev,
+  (VTBL_ENTRY)&_ZN6S369C24v227Ev,
+  (VTBL_ENTRY)&_ZN6S369C24v228Ev,
+  (VTBL_ENTRY)&_ZN6S369C24v229Ev,
+  (VTBL_ENTRY)&_ZN6S369C24v230Ev,
+};
+extern VTBL_ENTRY _ZTI6S369C2[];
+extern  VTBL_ENTRY _ZTV6S369C2[];
+Class_Descriptor cd_S369C2 = {  "S369C2", // class name
+  bases_S369C2, 4,
+  &(vtc_S369C2[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(1568,1232), // object size
+  NSPAIRA(_ZTI6S369C2),ABISELECT(24,12), //typeinfo_var
+  NSPAIRA(_ZTV6S369C2),232, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  4, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S369C1  : S369C2 {
+  ::S369C59_nt s369c1f0;
+  virtual  ~S369C1(); // _ZN6S369C1D1Ev
+  virtual void  v1(); // _ZN6S369C12v1Ev
+  virtual void  v2(); // _ZN6S369C12v2Ev
+  S369C1(); // tgen
+};
+//SIG(1 S369C1) C1{ BC2{ BC3{ BC4{ BC5{ BC6{ vd v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 Fp Fi FC7{ BC8{ m Fp Fi FC9{ m} FC10{ m}}} FC11{ BC12{ m Fp Fi FC13{ m} FC14{ m}}} Fi} vd v26 v27 v28 v29 v30 v31 v32 v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53 v54 v55 v56 v57 v58 v59 v60 v61 v62 v63 v64 v65 v66 v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 v78 v79 v80 v81 v82 v83 v84 v85 v86 v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 v102 v103 FC15{ m FC16{ m FL[2]}} FC15 FC15 FC17{ m FC16} FC18{ m FC17} FC19{ m FC17 FC17 FC17 FC17} FC19 FC15 FC15 FC15 Fp FC18 FC20{ m FC21{ m Fp Fi FC22{ m}} Fi} Fi Fp[7] FC23{ m Fp Fi FC10 FC24{ m}} Fi:8 Fi:8 Fi:16 Fi:16 Fi:8 Fi:8 Fi:8 Fi:32 Fi:8 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:!
 1 Fc:1 Fc
 :1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fi[7] Fp[6] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 FC25{ BC26{ m Fp Fi FC27{ m} FC27}} Fp[4] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:16 Fi:16 Fi:16 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:8 Fi:8 Fi:8 Fp[7] FC28{ m Fp Fi[2] FC9} Fp[2] Fi:16 Fi:16 FC29{ BC12} FC30{ m Fp Fi[2] FC14} FC31{ m Fp Fi[2] FC24} Fp[2] Fi:24 Fi:8 Fp} vd v104 v105 v106 v107 v108 v109 v110 v111 v112 v113 v114 v115 v116 v117 v118 v119 v120 v121 v122 v123 v124 v125 v126 v127 v128 v129 v130 v131 v132 v133 v134 v135 v136 v137 v138 v139 v140 v141 v142 v143 v144 v145 v146 v147 v148 v149 v150 v151 v152 v153 v154 v155 v156 v157 v158 v159 v160 v161 v162 v163 v164 v165 v166 v167 v168 v169 v170 v171 v172 v173 v174 v175 v176 v177 v178 v179 v180 v181 v182 v183 v184 v185 v186 v187 v188 v189 Fi[2] FC32{ m FC33{ m FL}} Fp[4] FC15 FC15 FC15 FC32 FC15 FC32 FC32 FC15 FC15 FC15 FC32 FC15 FC15 Fi[7] FC18 FC32 FC32 FC32 Fp Fi Fp[4] Fc[2] FC8 Fi[8] Fc[6] Fi Fc Fi[2] Fc[2]} vd v190 v191 !
 v192 v193
  v194 v195 v196 v197 v198 v199 v200 v201 v202 v203 v204 v205 v206 v207 v208 v209 v210 v211 v212 v213 v214 v215 v216 v217 v218 v219 v220 v221 v222 Fi FC32 FC32 FC15 Fi[2] Fp[4] FC34{ m FC35{ m Fp Fi[2] FC24} FC36{ BC8} FC37{ m Fp Fi[2] FC9} FC38{ BC12}} Fi[2] Fp[3] FC32 Fi Fp Fc FC32 Fc Fi FL FC39{ m Fi[2]} Fc FC39 Fp Fc[2] FC32 Fc} vd v223 v224 v225 v226 v227 v228 v229 v230 FC40{ m Fp}} vd v1 v2 FC39}
+
+
+ S369C1 ::~S369C1(){ note_dtor("S369C1", this);}
+void  S369C1 ::v1(){vfunc_called(this, "_ZN6S369C12v1Ev");}
+void  S369C1 ::v2(){vfunc_called(this, "_ZN6S369C12v2Ev");}
+S369C1 ::S369C1(){ note_ctor("S369C1", this);} // tgen
+
+static void Test_S369C1()
+{
+  extern Class_Descriptor cd_S369C1;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(198,311)];
+    init_test(&cd_S369C1, buf);
+    S369C1 *dp, &lv = *(dp=new (buf) S369C1());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(1576,1240), "sizeof(S369C1)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S369C1)");
+    check_base_class_offset(lv, (S369C6*)(S369C5*)(S369C4*)(S369C3*)(S369C2*), 0, "S369C1");
+    check_base_class_offset(lv, (S369C5*)(S369C4*)(S369C3*)(S369C2*), 0, "S369C1");
+    check_base_class_offset(lv, (S369C4*)(S369C3*)(S369C2*), 0, "S369C1");
+    check_base_class_offset(lv, (S369C3*)(S369C2*), 0, "S369C1");
+    check_base_class_offset(lv, (S369C2*), 0, "S369C1");
+    check_field_offset(lv, s369c1f0, ABISELECT(1568,1232), "S369C1.s369c1f0");
+    test_class_info(&lv, &cd_S369C1);
+    dp->~S369C1();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS369C1(Test_S369C1, "S369C1", ABISELECT(1576,1240));
+
+#else // __cplusplus
+
+extern void _ZN6S369C1C1Ev();
+extern void _ZN6S369C1D1Ev();
+Name_Map name_map_S369C1[] = {
+  NSPAIR(_ZN6S369C1C1Ev),
+  NSPAIR(_ZN6S369C1D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S369C6;
+extern VTBL_ENTRY _ZTI6S369C6[];
+extern  VTBL_ENTRY _ZTV6S369C6[];
+extern Class_Descriptor cd_S369C5;
+extern VTBL_ENTRY _ZTI6S369C5[];
+extern  VTBL_ENTRY _ZTV6S369C5[];
+extern Class_Descriptor cd_S369C4;
+extern VTBL_ENTRY _ZTI6S369C4[];
+extern  VTBL_ENTRY _ZTV6S369C4[];
+extern Class_Descriptor cd_S369C3;
+extern VTBL_ENTRY _ZTI6S369C3[];
+extern  VTBL_ENTRY _ZTV6S369C3[];
+extern Class_Descriptor cd_S369C2;
+extern VTBL_ENTRY _ZTI6S369C2[];
+extern  VTBL_ENTRY _ZTV6S369C2[];
+static Base_Class bases_S369C1[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S369C6,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    1, //immediately_derived
+  0, 0},
+  {&cd_S369C5,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    2, //init_seq
+    2, //immediately_derived
+  0, 0},
+  {&cd_S369C4,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    3, //init_seq
+    3, //immediately_derived
+  0, 0},
+  {&cd_S369C3,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    4, //init_seq
+    4, //immediately_derived
+  0, 0},
+  {&cd_S369C2,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    5, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI6S369C1[];
+extern void _ZN6S369C1D1Ev();
+extern void _ZN6S369C1D0Ev();
+extern void _ZN6S369C62v3Ev();
+extern void _ZN6S369C62v4Ev();
+extern void _ZN6S369C62v5Ev();
+extern void _ZN6S369C62v6Ev();
+extern void _ZN6S369C62v7Ev();
+extern void _ZN6S369C62v8Ev();
+extern void _ZN6S369C62v9Ev();
+extern void _ZN6S369C63v10Ev();
+extern void _ZN6S369C63v11Ev();
+extern void _ZN6S369C63v12Ev();
+extern void _ZN6S369C63v13Ev();
+extern void _ZN6S369C63v14Ev();
+extern void _ZN6S369C63v15Ev();
+extern void _ZN6S369C63v16Ev();
+extern void _ZN6S369C63v17Ev();
+extern void _ZN6S369C63v18Ev();
+extern void _ZN6S369C63v19Ev();
+extern void _ZN6S369C63v20Ev();
+extern void _ZN6S369C63v21Ev();
+extern void _ZN6S369C63v22Ev();
+extern void _ZN6S369C63v23Ev();
+extern void _ZN6S369C63v24Ev();
+extern void _ZN6S369C63v25Ev();
+extern void _ZN6S369C53v26Ev();
+extern void _ZN6S369C53v27Ev();
+extern void _ZN6S369C53v28Ev();
+extern void _ZN6S369C53v29Ev();
+extern void _ZN6S369C53v30Ev();
+extern void _ZN6S369C53v31Ev();
+extern void _ZN6S369C53v32Ev();
+extern void _ZN6S369C53v33Ev();
+extern void _ZN6S369C53v34Ev();
+extern void _ZN6S369C53v35Ev();
+extern void _ZN6S369C53v36Ev();
+extern void _ZN6S369C53v37Ev();
+extern void _ZN6S369C53v38Ev();
+extern void _ZN6S369C53v39Ev();
+extern void _ZN6S369C53v40Ev();
+extern void _ZN6S369C53v41Ev();
+extern void _ZN6S369C53v42Ev();
+extern void _ZN6S369C53v43Ev();
+extern void _ZN6S369C53v44Ev();
+extern void _ZN6S369C53v45Ev();
+extern void _ZN6S369C53v46Ev();
+extern void _ZN6S369C53v47Ev();
+extern void _ZN6S369C53v48Ev();
+extern void _ZN6S369C53v49Ev();
+extern void _ZN6S369C53v50Ev();
+extern void _ZN6S369C53v51Ev();
+extern void _ZN6S369C53v52Ev();
+extern void _ZN6S369C53v53Ev();
+extern void _ZN6S369C53v54Ev();
+extern void _ZN6S369C53v55Ev();
+extern void _ZN6S369C53v56Ev();
+extern void _ZN6S369C53v57Ev();
+extern void _ZN6S369C53v58Ev();
+extern void _ZN6S369C53v59Ev();
+extern void _ZN6S369C53v60Ev();
+extern void _ZN6S369C53v61Ev();
+extern void _ZN6S369C53v62Ev();
+extern void _ZN6S369C53v63Ev();
+extern void _ZN6S369C53v64Ev();
+extern void _ZN6S369C53v65Ev();
+extern void _ZN6S369C53v66Ev();
+extern void _ZN6S369C53v67Ev();
+extern void _ZN6S369C53v68Ev();
+extern void _ZN6S369C53v69Ev();
+extern void _ZN6S369C53v70Ev();
+extern void _ZN6S369C53v71Ev();
+extern void _ZN6S369C53v72Ev();
+extern void _ZN6S369C53v73Ev();
+extern void _ZN6S369C53v74Ev();
+extern void _ZN6S369C53v75Ev();
+extern void _ZN6S369C53v76Ev();
+extern void _ZN6S369C53v77Ev();
+extern void _ZN6S369C53v78Ev();
+extern void _ZN6S369C53v79Ev();
+extern void _ZN6S369C53v80Ev();
+extern void _ZN6S369C53v81Ev();
+extern void _ZN6S369C53v82Ev();
+extern void _ZN6S369C53v83Ev();
+extern void _ZN6S369C53v84Ev();
+extern void _ZN6S369C53v85Ev();
+extern void _ZN6S369C53v86Ev();
+extern void _ZN6S369C53v87Ev();
+extern void _ZN6S369C53v88Ev();
+extern void _ZN6S369C53v89Ev();
+extern void _ZN6S369C53v90Ev();
+extern void _ZN6S369C53v91Ev();
+extern void _ZN6S369C53v92Ev();
+extern void _ZN6S369C53v93Ev();
+extern void _ZN6S369C53v94Ev();
+extern void _ZN6S369C53v95Ev();
+extern void _ZN6S369C53v96Ev();
+extern void _ZN6S369C53v97Ev();
+extern void _ZN6S369C53v98Ev();
+extern void _ZN6S369C53v99Ev();
+extern void _ZN6S369C54v100Ev();
+extern void _ZN6S369C54v101Ev();
+extern void _ZN6S369C54v102Ev();
+extern void _ZN6S369C54v103Ev();
+extern void _ZN6S369C44v104Ev();
+extern void _ZN6S369C44v105Ev();
+extern void _ZN6S369C44v106Ev();
+extern void _ZN6S369C44v107Ev();
+extern void _ZN6S369C44v108Ev();
+extern void _ZN6S369C44v109Ev();
+extern void _ZN6S369C44v110Ev();
+extern void _ZN6S369C44v111Ev();
+extern void _ZN6S369C44v112Ev();
+extern void _ZN6S369C44v113Ev();
+extern void _ZN6S369C44v114Ev();
+extern void _ZN6S369C44v115Ev();
+extern void _ZN6S369C44v116Ev();
+extern void _ZN6S369C44v117Ev();
+extern void _ZN6S369C44v118Ev();
+extern void _ZN6S369C44v119Ev();
+extern void _ZN6S369C44v120Ev();
+extern void _ZN6S369C44v121Ev();
+extern void _ZN6S369C44v122Ev();
+extern void _ZN6S369C44v123Ev();
+extern void _ZN6S369C44v124Ev();
+extern void _ZN6S369C44v125Ev();
+extern void _ZN6S369C44v126Ev();
+extern void _ZN6S369C44v127Ev();
+extern void _ZN6S369C44v128Ev();
+extern void _ZN6S369C44v129Ev();
+extern void _ZN6S369C44v130Ev();
+extern void _ZN6S369C44v131Ev();
+extern void _ZN6S369C44v132Ev();
+extern void _ZN6S369C44v133Ev();
+extern void _ZN6S369C44v134Ev();
+extern void _ZN6S369C44v135Ev();
+extern void _ZN6S369C44v136Ev();
+extern void _ZN6S369C44v137Ev();
+extern void _ZN6S369C44v138Ev();
+extern void _ZN6S369C44v139Ev();
+extern void _ZN6S369C44v140Ev();
+extern void _ZN6S369C44v141Ev();
+extern void _ZN6S369C44v142Ev();
+extern void _ZN6S369C44v143Ev();
+extern void _ZN6S369C44v144Ev();
+extern void _ZN6S369C44v145Ev();
+extern void _ZN6S369C44v146Ev();
+extern void _ZN6S369C44v147Ev();
+extern void _ZN6S369C44v148Ev();
+extern void _ZN6S369C44v149Ev();
+extern void _ZN6S369C44v150Ev();
+extern void _ZN6S369C44v151Ev();
+extern void _ZN6S369C44v152Ev();
+extern void _ZN6S369C44v153Ev();
+extern void _ZN6S369C44v154Ev();
+extern void _ZN6S369C44v155Ev();
+extern void _ZN6S369C44v156Ev();
+extern void _ZN6S369C44v157Ev();
+extern void _ZN6S369C44v158Ev();
+extern void _ZN6S369C44v159Ev();
+extern void _ZN6S369C44v160Ev();
+extern void _ZN6S369C44v161Ev();
+extern void _ZN6S369C44v162Ev();
+extern void _ZN6S369C44v163Ev();
+extern void _ZN6S369C44v164Ev();
+extern void _ZN6S369C44v165Ev();
+extern void _ZN6S369C44v166Ev();
+extern void _ZN6S369C44v167Ev();
+extern void _ZN6S369C44v168Ev();
+extern void _ZN6S369C44v169Ev();
+extern void _ZN6S369C44v170Ev();
+extern void _ZN6S369C44v171Ev();
+extern void _ZN6S369C44v172Ev();
+extern void _ZN6S369C44v173Ev();
+extern void _ZN6S369C44v174Ev();
+extern void _ZN6S369C44v175Ev();
+extern void _ZN6S369C44v176Ev();
+extern void _ZN6S369C44v177Ev();
+extern void _ZN6S369C44v178Ev();
+extern void _ZN6S369C44v179Ev();
+extern void _ZN6S369C44v180Ev();
+extern void _ZN6S369C44v181Ev();
+extern void _ZN6S369C44v182Ev();
+extern void _ZN6S369C44v183Ev();
+extern void _ZN6S369C44v184Ev();
+extern void _ZN6S369C44v185Ev();
+extern void _ZN6S369C44v186Ev();
+extern void _ZN6S369C44v187Ev();
+extern void _ZN6S369C44v188Ev();
+extern void _ZN6S369C44v189Ev();
+extern void _ZN6S369C34v190Ev();
+extern void _ZN6S369C34v191Ev();
+extern void _ZN6S369C34v192Ev();
+extern void _ZN6S369C34v193Ev();
+extern void _ZN6S369C34v194Ev();
+extern void _ZN6S369C34v195Ev();
+extern void _ZN6S369C34v196Ev();
+extern void _ZN6S369C34v197Ev();
+extern void _ZN6S369C34v198Ev();
+extern void _ZN6S369C34v199Ev();
+extern void _ZN6S369C34v200Ev();
+extern void _ZN6S369C34v201Ev();
+extern void _ZN6S369C34v202Ev();
+extern void _ZN6S369C34v203Ev();
+extern void _ZN6S369C34v204Ev();
+extern void _ZN6S369C34v205Ev();
+extern void _ZN6S369C34v206Ev();
+extern void _ZN6S369C34v207Ev();
+extern void _ZN6S369C34v208Ev();
+extern void _ZN6S369C34v209Ev();
+extern void _ZN6S369C34v210Ev();
+extern void _ZN6S369C34v211Ev();
+extern void _ZN6S369C34v212Ev();
+extern void _ZN6S369C34v213Ev();
+extern void _ZN6S369C34v214Ev();
+extern void _ZN6S369C34v215Ev();
+extern void _ZN6S369C34v216Ev();
+extern void _ZN6S369C34v217Ev();
+extern void _ZN6S369C34v218Ev();
+extern void _ZN6S369C34v219Ev();
+extern void _ZN6S369C34v220Ev();
+extern void _ZN6S369C34v221Ev();
+extern void _ZN6S369C34v222Ev();
+extern void _ZN6S369C24v223Ev();
+extern void _ZN6S369C24v224Ev();
+extern void _ZN6S369C24v225Ev();
+extern void _ZN6S369C24v226Ev();
+extern void _ZN6S369C24v227Ev();
+extern void _ZN6S369C24v228Ev();
+extern void _ZN6S369C24v229Ev();
+extern void _ZN6S369C24v230Ev();
+extern void _ZN6S369C12v1Ev();
+extern void _ZN6S369C12v2Ev();
+static  VTBL_ENTRY vtc_S369C1[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S369C1[0]),
+  (VTBL_ENTRY)&_ZN6S369C1D1Ev,
+  (VTBL_ENTRY)&_ZN6S369C1D0Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v3Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v4Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v5Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v6Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v7Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v8Ev,
+  (VTBL_ENTRY)&_ZN6S369C62v9Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v10Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v11Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v12Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v13Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v14Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v15Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v16Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v17Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v18Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v19Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v20Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v21Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v22Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v23Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v24Ev,
+  (VTBL_ENTRY)&_ZN6S369C63v25Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v26Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v27Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v28Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v29Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v30Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v31Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v32Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v33Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v34Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v35Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v36Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v37Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v38Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v39Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v40Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v41Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v42Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v43Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v44Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v45Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v46Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v47Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v48Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v49Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v50Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v51Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v52Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v53Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v54Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v55Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v56Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v57Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v58Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v59Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v60Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v61Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v62Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v63Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v64Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v65Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v66Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v67Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v68Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v69Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v70Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v71Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v72Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v73Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v74Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v75Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v76Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v77Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v78Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v79Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v80Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v81Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v82Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v83Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v84Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v85Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v86Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v87Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v88Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v89Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v90Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v91Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v92Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v93Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v94Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v95Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v96Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v97Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v98Ev,
+  (VTBL_ENTRY)&_ZN6S369C53v99Ev,
+  (VTBL_ENTRY)&_ZN6S369C54v100Ev,
+  (VTBL_ENTRY)&_ZN6S369C54v101Ev,
+  (VTBL_ENTRY)&_ZN6S369C54v102Ev,
+  (VTBL_ENTRY)&_ZN6S369C54v103Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v104Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v105Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v106Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v107Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v108Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v109Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v110Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v111Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v112Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v113Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v114Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v115Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v116Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v117Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v118Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v119Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v120Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v121Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v122Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v123Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v124Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v125Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v126Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v127Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v128Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v129Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v130Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v131Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v132Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v133Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v134Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v135Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v136Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v137Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v138Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v139Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v140Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v141Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v142Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v143Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v144Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v145Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v146Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v147Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v148Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v149Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v150Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v151Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v152Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v153Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v154Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v155Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v156Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v157Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v158Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v159Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v160Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v161Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v162Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v163Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v164Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v165Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v166Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v167Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v168Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v169Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v170Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v171Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v172Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v173Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v174Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v175Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v176Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v177Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v178Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v179Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v180Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v181Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v182Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v183Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v184Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v185Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v186Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v187Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v188Ev,
+  (VTBL_ENTRY)&_ZN6S369C44v189Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v190Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v191Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v192Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v193Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v194Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v195Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v196Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v197Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v198Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v199Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v200Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v201Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v202Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v203Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v204Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v205Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v206Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v207Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v208Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v209Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v210Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v211Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v212Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v213Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v214Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v215Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v216Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v217Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v218Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v219Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v220Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v221Ev,
+  (VTBL_ENTRY)&_ZN6S369C34v222Ev,
+  (VTBL_ENTRY)&_ZN6S369C24v223Ev,
+  (VTBL_ENTRY)&_ZN6S369C24v224Ev,
+  (VTBL_ENTRY)&_ZN6S369C24v225Ev,
+  (VTBL_ENTRY)&_ZN6S369C24v226Ev,
+  (VTBL_ENTRY)&_ZN6S369C24v227Ev,
+  (VTBL_ENTRY)&_ZN6S369C24v228Ev,
+  (VTBL_ENTRY)&_ZN6S369C24v229Ev,
+  (VTBL_ENTRY)&_ZN6S369C24v230Ev,
+  (VTBL_ENTRY)&_ZN6S369C12v1Ev,
+  (VTBL_ENTRY)&_ZN6S369C12v2Ev,
+};
+extern VTBL_ENTRY _ZTI6S369C1[];
+extern  VTBL_ENTRY _ZTV6S369C1[];
+Class_Descriptor cd_S369C1 = {  "S369C1", // class name
+  bases_S369C1, 5,
+  &(vtc_S369C1[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(1576,1240), // object size
+  NSPAIRA(_ZTI6S369C1),ABISELECT(24,12), //typeinfo_var
+  NSPAIRA(_ZTV6S369C1),234, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  5, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S901C5  {
+  void *s901c5f0;
+  int s901c5f1;
+  ::S938C45 s901c5f2;
+  ::S938C26 s901c5f3;
+  int s901c5f4;
+  virtual  ~S901C5(); // _ZN6S901C5D1Ev
+  virtual void  v3(); // _ZN6S901C52v3Ev
+  virtual void  v4(); // _ZN6S901C52v4Ev
+  virtual void  v5(); // _ZN6S901C52v5Ev
+  virtual void  v6(); // _ZN6S901C52v6Ev
+  virtual void  v7(); // _ZN6S901C52v7Ev
+  virtual void  v8(); // _ZN6S901C52v8Ev
+  virtual void  v9(); // _ZN6S901C52v9Ev
+  virtual void  v10(); // _ZN6S901C53v10Ev
+  virtual void  v11(); // _ZN6S901C53v11Ev
+  virtual void  v12(); // _ZN6S901C53v12Ev
+  virtual void  v13(); // _ZN6S901C53v13Ev
+  virtual void  v14(); // _ZN6S901C53v14Ev
+  virtual void  v15(); // _ZN6S901C53v15Ev
+  virtual void  v16(); // _ZN6S901C53v16Ev
+  virtual void  v17(); // _ZN6S901C53v17Ev
+  virtual void  v18(); // _ZN6S901C53v18Ev
+  virtual void  v19(); // _ZN6S901C53v19Ev
+  virtual void  v20(); // _ZN6S901C53v20Ev
+  virtual void  v21(); // _ZN6S901C53v21Ev
+  virtual void  v22(); // _ZN6S901C53v22Ev
+  virtual void  v23(); // _ZN6S901C53v23Ev
+  virtual void  v24(); // _ZN6S901C53v24Ev
+  virtual void  v25(); // _ZN6S901C53v25Ev
+  S901C5(); // tgen
+};
+//SIG(-1 S901C5) C1{ vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 Fp Fi FC2{ BC3{ m Fp Fi FC4{ m} FC5{ m}}} FC6{ BC7{ m Fp Fi FC8{ m} FC9{ m}}} Fi}
+
+
+ S901C5 ::~S901C5(){ note_dtor("S901C5", this);}
+void  S901C5 ::v3(){vfunc_called(this, "_ZN6S901C52v3Ev");}
+void  S901C5 ::v4(){vfunc_called(this, "_ZN6S901C52v4Ev");}
+void  S901C5 ::v5(){vfunc_called(this, "_ZN6S901C52v5Ev");}
+void  S901C5 ::v6(){vfunc_called(this, "_ZN6S901C52v6Ev");}
+void  S901C5 ::v7(){vfunc_called(this, "_ZN6S901C52v7Ev");}
+void  S901C5 ::v8(){vfunc_called(this, "_ZN6S901C52v8Ev");}
+void  S901C5 ::v9(){vfunc_called(this, "_ZN6S901C52v9Ev");}
+void  S901C5 ::v10(){vfunc_called(this, "_ZN6S901C53v10Ev");}
+void  S901C5 ::v11(){vfunc_called(this, "_ZN6S901C53v11Ev");}
+void  S901C5 ::v12(){vfunc_called(this, "_ZN6S901C53v12Ev");}
+void  S901C5 ::v13(){vfunc_called(this, "_ZN6S901C53v13Ev");}
+void  S901C5 ::v14(){vfunc_called(this, "_ZN6S901C53v14Ev");}
+void  S901C5 ::v15(){vfunc_called(this, "_ZN6S901C53v15Ev");}
+void  S901C5 ::v16(){vfunc_called(this, "_ZN6S901C53v16Ev");}
+void  S901C5 ::v17(){vfunc_called(this, "_ZN6S901C53v17Ev");}
+void  S901C5 ::v18(){vfunc_called(this, "_ZN6S901C53v18Ev");}
+void  S901C5 ::v19(){vfunc_called(this, "_ZN6S901C53v19Ev");}
+void  S901C5 ::v20(){vfunc_called(this, "_ZN6S901C53v20Ev");}
+void  S901C5 ::v21(){vfunc_called(this, "_ZN6S901C53v21Ev");}
+void  S901C5 ::v22(){vfunc_called(this, "_ZN6S901C53v22Ev");}
+void  S901C5 ::v23(){vfunc_called(this, "_ZN6S901C53v23Ev");}
+void  S901C5 ::v24(){vfunc_called(this, "_ZN6S901C53v24Ev");}
+void  S901C5 ::v25(){vfunc_called(this, "_ZN6S901C53v25Ev");}
+S901C5 ::S901C5(){ note_ctor("S901C5", this);} // tgen
+
+static void Test_S901C5()
+{
+  extern Class_Descriptor cd_S901C5;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(9,11)];
+    init_test(&cd_S901C5, buf);
+    S901C5 *dp, &lv = *(dp=new (buf) S901C5());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(64,40), "sizeof(S901C5)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S901C5)");
+    check_field_offset(lv, s901c5f0, ABISELECT(8,4), "S901C5.s901c5f0");
+    check_field_offset(lv, s901c5f1, ABISELECT(16,8), "S901C5.s901c5f1");
+    check_field_offset(lv, s901c5f2, ABISELECT(24,12), "S901C5.s901c5f2");
+    check_field_offset(lv, s901c5f3, ABISELECT(40,24), "S901C5.s901c5f3");
+    check_field_offset(lv, s901c5f4, ABISELECT(56,36), "S901C5.s901c5f4");
+    test_class_info(&lv, &cd_S901C5);
+    dp->~S901C5();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS901C5(Test_S901C5, "S901C5", ABISELECT(64,40));
+
+#else // __cplusplus
+
+extern void _ZN6S901C5C1Ev();
+extern void _ZN6S901C5D1Ev();
+Name_Map name_map_S901C5[] = {
+  NSPAIR(_ZN6S901C5C1Ev),
+  NSPAIR(_ZN6S901C5D1Ev),
+  {0,0}
+};
+extern VTBL_ENTRY _ZTI6S901C5[];
+extern void _ZN6S901C5D1Ev();
+extern void _ZN6S901C5D0Ev();
+extern void _ZN6S901C52v3Ev();
+extern void _ZN6S901C52v4Ev();
+extern void _ZN6S901C52v5Ev();
+extern void _ZN6S901C52v6Ev();
+extern void _ZN6S901C52v7Ev();
+extern void _ZN6S901C52v8Ev();
+extern void _ZN6S901C52v9Ev();
+extern void _ZN6S901C53v10Ev();
+extern void _ZN6S901C53v11Ev();
+extern void _ZN6S901C53v12Ev();
+extern void _ZN6S901C53v13Ev();
+extern void _ZN6S901C53v14Ev();
+extern void _ZN6S901C53v15Ev();
+extern void _ZN6S901C53v16Ev();
+extern void _ZN6S901C53v17Ev();
+extern void _ZN6S901C53v18Ev();
+extern void _ZN6S901C53v19Ev();
+extern void _ZN6S901C53v20Ev();
+extern void _ZN6S901C53v21Ev();
+extern void _ZN6S901C53v22Ev();
+extern void _ZN6S901C53v23Ev();
+extern void _ZN6S901C53v24Ev();
+extern void _ZN6S901C53v25Ev();
+static  VTBL_ENTRY vtc_S901C5[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S901C5[0]),
+  (VTBL_ENTRY)&_ZN6S901C5D1Ev,
+  (VTBL_ENTRY)&_ZN6S901C5D0Ev,
+  (VTBL_ENTRY)&_ZN6S901C52v3Ev,
+  (VTBL_ENTRY)&_ZN6S901C52v4Ev,
+  (VTBL_ENTRY)&_ZN6S901C52v5Ev,
+  (VTBL_ENTRY)&_ZN6S901C52v6Ev,
+  (VTBL_ENTRY)&_ZN6S901C52v7Ev,
+  (VTBL_ENTRY)&_ZN6S901C52v8Ev,
+  (VTBL_ENTRY)&_ZN6S901C52v9Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v10Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v11Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v12Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v13Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v14Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v15Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v16Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v17Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v18Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v19Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v20Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v21Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v22Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v23Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v24Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v25Ev,
+};
+extern VTBL_ENTRY _ZTI6S901C5[];
+extern  VTBL_ENTRY _ZTV6S901C5[];
+Class_Descriptor cd_S901C5 = {  "S901C5", // class name
+  0,0,//no base classes
+  &(vtc_S901C5[0]), // expected_vtbl_contents
+  0, //no vtt
+  ABISELECT(64,40), // object size
+  NSPAIRA(_ZTI6S901C5),ABISELECT(16,8), //typeinfo_var
+  NSPAIRA(_ZTV6S901C5),27, //virtual function table var
+  2, // offset into main vftv pointed to by object[0]
+  {0,0},0, // virtual table table var
+  0, // n_initialized_bases
+  0, // has_virtual_bases
+  1, // has_class_type_fields
+  0, // alt-thunk-names
+};
+#endif // __cplusplus
+
+//============================================================================
+
+#ifdef __cplusplus
+
+struct  S901C4  : S901C5 {
+  ::S938C60 s901c4f0;
+  ::S938C60 s901c4f1;
+  ::S938C60 s901c4f2;
+  ::S938C14_nt s901c4f3;
+  ::S938C18 s901c4f4;
+  ::S938C19_nt s901c4f5;
+  ::S938C19_nt s901c4f6;
+  ::S938C60 s901c4f7;
+  ::S938C60 s901c4f8;
+  ::S938C60 s901c4f9;
+  void *s901c4f10;
+  ::S938C18 s901c4f11;
+  ::S938C20_nt s901c4f12;
+  int s901c4f13;
+  void *s901c4f14[7];
+  ::S938C66 s901c4f15;
+  int s901c4f16:8;
+  int s901c4f17:8;
+  int s901c4f18:16;
+  int s901c4f19:16;
+  int s901c4f20:8;
+  int s901c4f21:8;
+  int s901c4f22:8;
+  int s901c4f23:32;
+  int s901c4f24:8;
+  int s901c4f25:4;
+  int s901c4f26:4;
+  int s901c4f27:4;
+  int s901c4f28:4;
+  int s901c4f29:4;
+  int s901c4f30:4;
+  int s901c4f31:4;
+  int s901c4f32:4;
+  int s901c4f33:4;
+  int s901c4f34:4;
+  unsigned char s901c4f35:1;
+  unsigned char s901c4f36:1;
+  unsigned char s901c4f37:1;
+  unsigned char s901c4f38:1;
+  unsigned char s901c4f39:1;
+  unsigned char s901c4f40:1;
+  unsigned char s901c4f41:1;
+  unsigned char s901c4f42:1;
+  unsigned char s901c4f43:1;
+  unsigned char s901c4f44:1;
+  unsigned char s901c4f45:1;
+  unsigned char s901c4f46:1;
+  unsigned char s901c4f47:1;
+  unsigned char s901c4f48:1;
+  unsigned char s901c4f49:1;
+  unsigned char s901c4f50:1;
+  unsigned char s901c4f51:1;
+  unsigned char s901c4f52:1;
+  unsigned char s901c4f53:1;
+  unsigned char s901c4f54:1;
+  unsigned char s901c4f55:1;
+  unsigned char s901c4f56:1;
+  unsigned char s901c4f57:1;
+  unsigned char s901c4f58:1;
+  unsigned char s901c4f59:1;
+  unsigned char s901c4f60:1;
+  unsigned char s901c4f61:1;
+  unsigned char s901c4f62:1;
+  unsigned char s901c4f63:1;
+  unsigned char s901c4f64:1;
+  unsigned char s901c4f65:1;
+  unsigned char s901c4f66:1;
+  unsigned char s901c4f67:1;
+  unsigned char s901c4f68:1;
+  unsigned char s901c4f69:1;
+  unsigned char s901c4f70:1;
+  unsigned char s901c4f71:1;
+  unsigned char s901c4f72:1;
+  unsigned char s901c4f73:1;
+  unsigned char s901c4f74:1;
+  unsigned char s901c4f75:1;
+  int s901c4f76[7];
+  void *s901c4f77[6];
+  int s901c4f78:8;
+  int s901c4f79:8;
+  int s901c4f80:8;
+  int s901c4f81:8;
+  int s901c4f82:8;
+  int s901c4f83:8;
+  ::S938C6_nt s901c4f84;
+  void *s901c4f85[4];
+  int s901c4f86:8;
+  int s901c4f87:8;
+  int s901c4f88:8;
+  int s901c4f89:8;
+  int s901c4f90:8;
+  int s901c4f91:16;
+  int s901c4f92:16;
+  int s901c4f93:16;
+  int s901c4f94:4;
+  int s901c4f95:4;
+  int s901c4f96:4;
+  int s901c4f97:4;
+  int s901c4f98:4;
+  int s901c4f99:4;
+  int s901c4f100:4;
+  int s901c4f101:4;
+  int s901c4f102:4;
+  int s901c4f103:4;
+  int s901c4f104:4;
+  int s901c4f105:4;
+  int s901c4f106:8;
+  int s901c4f107:8;
+  int s901c4f108:8;
+  void *s901c4f109[7];
+  ::S938C38 s901c4f110;
+  void *s901c4f111[2];
+  int s901c4f112:16;
+  int s901c4f113:16;
+  ::S928C45 s901c4f114;
+  ::S938C30_nt s901c4f115;
+  ::S929C68 s901c4f116;
+  void *s901c4f117[2];
+  int s901c4f118:24;
+  int s901c4f119:8;
+  void *s901c4f120;
+  virtual  ~S901C4(); // _ZN6S901C4D1Ev
+  virtual void  v26(); // _ZN6S901C43v26Ev
+  virtual void  v27(); // _ZN6S901C43v27Ev
+  virtual void  v28(); // _ZN6S901C43v28Ev
+  virtual void  v29(); // _ZN6S901C43v29Ev
+  virtual void  v30(); // _ZN6S901C43v30Ev
+  virtual void  v31(); // _ZN6S901C43v31Ev
+  virtual void  v32(); // _ZN6S901C43v32Ev
+  virtual void  v33(); // _ZN6S901C43v33Ev
+  virtual void  v34(); // _ZN6S901C43v34Ev
+  virtual void  v35(); // _ZN6S901C43v35Ev
+  virtual void  v36(); // _ZN6S901C43v36Ev
+  virtual void  v37(); // _ZN6S901C43v37Ev
+  virtual void  v38(); // _ZN6S901C43v38Ev
+  virtual void  v39(); // _ZN6S901C43v39Ev
+  virtual void  v40(); // _ZN6S901C43v40Ev
+  virtual void  v41(); // _ZN6S901C43v41Ev
+  virtual void  v42(); // _ZN6S901C43v42Ev
+  virtual void  v43(); // _ZN6S901C43v43Ev
+  virtual void  v44(); // _ZN6S901C43v44Ev
+  virtual void  v45(); // _ZN6S901C43v45Ev
+  virtual void  v46(); // _ZN6S901C43v46Ev
+  virtual void  v47(); // _ZN6S901C43v47Ev
+  virtual void  v48(); // _ZN6S901C43v48Ev
+  virtual void  v49(); // _ZN6S901C43v49Ev
+  virtual void  v50(); // _ZN6S901C43v50Ev
+  virtual void  v51(); // _ZN6S901C43v51Ev
+  virtual void  v52(); // _ZN6S901C43v52Ev
+  virtual void  v53(); // _ZN6S901C43v53Ev
+  virtual void  v54(); // _ZN6S901C43v54Ev
+  virtual void  v55(); // _ZN6S901C43v55Ev
+  virtual void  v56(); // _ZN6S901C43v56Ev
+  virtual void  v57(); // _ZN6S901C43v57Ev
+  virtual void  v58(); // _ZN6S901C43v58Ev
+  virtual void  v59(); // _ZN6S901C43v59Ev
+  virtual void  v60(); // _ZN6S901C43v60Ev
+  virtual void  v61(); // _ZN6S901C43v61Ev
+  virtual void  v62(); // _ZN6S901C43v62Ev
+  virtual void  v63(); // _ZN6S901C43v63Ev
+  virtual void  v64(); // _ZN6S901C43v64Ev
+  virtual void  v65(); // _ZN6S901C43v65Ev
+  virtual void  v66(); // _ZN6S901C43v66Ev
+  virtual void  v67(); // _ZN6S901C43v67Ev
+  virtual void  v68(); // _ZN6S901C43v68Ev
+  virtual void  v69(); // _ZN6S901C43v69Ev
+  virtual void  v70(); // _ZN6S901C43v70Ev
+  virtual void  v71(); // _ZN6S901C43v71Ev
+  virtual void  v72(); // _ZN6S901C43v72Ev
+  virtual void  v73(); // _ZN6S901C43v73Ev
+  virtual void  v74(); // _ZN6S901C43v74Ev
+  virtual void  v75(); // _ZN6S901C43v75Ev
+  virtual void  v76(); // _ZN6S901C43v76Ev
+  virtual void  v77(); // _ZN6S901C43v77Ev
+  virtual void  v78(); // _ZN6S901C43v78Ev
+  virtual void  v79(); // _ZN6S901C43v79Ev
+  virtual void  v80(); // _ZN6S901C43v80Ev
+  virtual void  v81(); // _ZN6S901C43v81Ev
+  virtual void  v82(); // _ZN6S901C43v82Ev
+  virtual void  v83(); // _ZN6S901C43v83Ev
+  virtual void  v84(); // _ZN6S901C43v84Ev
+  virtual void  v85(); // _ZN6S901C43v85Ev
+  virtual void  v86(); // _ZN6S901C43v86Ev
+  virtual void  v87(); // _ZN6S901C43v87Ev
+  virtual void  v88(); // _ZN6S901C43v88Ev
+  virtual void  v89(); // _ZN6S901C43v89Ev
+  virtual void  v90(); // _ZN6S901C43v90Ev
+  virtual void  v91(); // _ZN6S901C43v91Ev
+  virtual void  v92(); // _ZN6S901C43v92Ev
+  virtual void  v93(); // _ZN6S901C43v93Ev
+  virtual void  v94(); // _ZN6S901C43v94Ev
+  virtual void  v95(); // _ZN6S901C43v95Ev
+  virtual void  v96(); // _ZN6S901C43v96Ev
+  virtual void  v97(); // _ZN6S901C43v97Ev
+  virtual void  v98(); // _ZN6S901C43v98Ev
+  virtual void  v99(); // _ZN6S901C43v99Ev
+  virtual void  v100(); // _ZN6S901C44v100Ev
+  virtual void  v101(); // _ZN6S901C44v101Ev
+  virtual void  v102(); // _ZN6S901C44v102Ev
+  virtual void  v103(); // _ZN6S901C44v103Ev
+  S901C4(); // tgen
+};
+//SIG(-1 S901C4) C1{ BC2{ vd v79 v80 v81 v82 v83 v84 v85 v86 v87 v88 v89 v90 v91 v92 v93 v94 v95 v96 v97 v98 v99 v100 v101 Fp Fi FC3{ BC4{ m Fp Fi FC5{ m} FC6{ m}}} FC7{ BC8{ m Fp Fi FC9{ m} FC10{ m}}} Fi} vd v1 v2 v3 v4 v5 v6 v7 v8 v9 v10 v11 v12 v13 v14 v15 v16 v17 v18 v19 v20 v21 v22 v23 v24 v25 v26 v27 v28 v29 v30 v31 v32 v33 v34 v35 v36 v37 v38 v39 v40 v41 v42 v43 v44 v45 v46 v47 v48 v49 v50 v51 v52 v53 v54 v55 v56 v57 v58 v59 v60 v61 v62 v63 v64 v65 v66 v67 v68 v69 v70 v71 v72 v73 v74 v75 v76 v77 v78 FC11{ m FC12{ m FL[2]}} FC11 FC11 FC13{ m FC12} FC14{ m FC13} FC15{ m FC13 FC13 FC13 FC13} FC15 FC11 FC11 FC11 Fp FC14 FC16{ m FC17{ m Fp Fi FC18{ m}} Fi} Fi Fp[7] FC19{ m Fp Fi FC6 FC20{ m}} Fi:8 Fi:8 Fi:16 Fi:16 Fi:8 Fi:8 Fi:8 Fi:32 Fi:8 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 Fc:1 F!
 c:1 Fc:1 
 Fc:1 Fc:1 Fi[7] Fp[6] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 FC21{ BC22{ m Fp Fi FC23{ m} FC23}} Fp[4] Fi:8 Fi:8 Fi:8 Fi:8 Fi:8 Fi:16 Fi:16 Fi:16 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:4 Fi:8 Fi:8 Fi:8 Fp[7] FC24{ m Fp Fi[2] FC5} Fp[2] Fi:16 Fi:16 FC25{ BC8} FC26{ m Fp Fi[2] FC10} FC27{ m Fp Fi[2] FC20} Fp[2] Fi:24 Fi:8 Fp}
+
+
+ S901C4 ::~S901C4(){ note_dtor("S901C4", this);}
+void  S901C4 ::v26(){vfunc_called(this, "_ZN6S901C43v26Ev");}
+void  S901C4 ::v27(){vfunc_called(this, "_ZN6S901C43v27Ev");}
+void  S901C4 ::v28(){vfunc_called(this, "_ZN6S901C43v28Ev");}
+void  S901C4 ::v29(){vfunc_called(this, "_ZN6S901C43v29Ev");}
+void  S901C4 ::v30(){vfunc_called(this, "_ZN6S901C43v30Ev");}
+void  S901C4 ::v31(){vfunc_called(this, "_ZN6S901C43v31Ev");}
+void  S901C4 ::v32(){vfunc_called(this, "_ZN6S901C43v32Ev");}
+void  S901C4 ::v33(){vfunc_called(this, "_ZN6S901C43v33Ev");}
+void  S901C4 ::v34(){vfunc_called(this, "_ZN6S901C43v34Ev");}
+void  S901C4 ::v35(){vfunc_called(this, "_ZN6S901C43v35Ev");}
+void  S901C4 ::v36(){vfunc_called(this, "_ZN6S901C43v36Ev");}
+void  S901C4 ::v37(){vfunc_called(this, "_ZN6S901C43v37Ev");}
+void  S901C4 ::v38(){vfunc_called(this, "_ZN6S901C43v38Ev");}
+void  S901C4 ::v39(){vfunc_called(this, "_ZN6S901C43v39Ev");}
+void  S901C4 ::v40(){vfunc_called(this, "_ZN6S901C43v40Ev");}
+void  S901C4 ::v41(){vfunc_called(this, "_ZN6S901C43v41Ev");}
+void  S901C4 ::v42(){vfunc_called(this, "_ZN6S901C43v42Ev");}
+void  S901C4 ::v43(){vfunc_called(this, "_ZN6S901C43v43Ev");}
+void  S901C4 ::v44(){vfunc_called(this, "_ZN6S901C43v44Ev");}
+void  S901C4 ::v45(){vfunc_called(this, "_ZN6S901C43v45Ev");}
+void  S901C4 ::v46(){vfunc_called(this, "_ZN6S901C43v46Ev");}
+void  S901C4 ::v47(){vfunc_called(this, "_ZN6S901C43v47Ev");}
+void  S901C4 ::v48(){vfunc_called(this, "_ZN6S901C43v48Ev");}
+void  S901C4 ::v49(){vfunc_called(this, "_ZN6S901C43v49Ev");}
+void  S901C4 ::v50(){vfunc_called(this, "_ZN6S901C43v50Ev");}
+void  S901C4 ::v51(){vfunc_called(this, "_ZN6S901C43v51Ev");}
+void  S901C4 ::v52(){vfunc_called(this, "_ZN6S901C43v52Ev");}
+void  S901C4 ::v53(){vfunc_called(this, "_ZN6S901C43v53Ev");}
+void  S901C4 ::v54(){vfunc_called(this, "_ZN6S901C43v54Ev");}
+void  S901C4 ::v55(){vfunc_called(this, "_ZN6S901C43v55Ev");}
+void  S901C4 ::v56(){vfunc_called(this, "_ZN6S901C43v56Ev");}
+void  S901C4 ::v57(){vfunc_called(this, "_ZN6S901C43v57Ev");}
+void  S901C4 ::v58(){vfunc_called(this, "_ZN6S901C43v58Ev");}
+void  S901C4 ::v59(){vfunc_called(this, "_ZN6S901C43v59Ev");}
+void  S901C4 ::v60(){vfunc_called(this, "_ZN6S901C43v60Ev");}
+void  S901C4 ::v61(){vfunc_called(this, "_ZN6S901C43v61Ev");}
+void  S901C4 ::v62(){vfunc_called(this, "_ZN6S901C43v62Ev");}
+void  S901C4 ::v63(){vfunc_called(this, "_ZN6S901C43v63Ev");}
+void  S901C4 ::v64(){vfunc_called(this, "_ZN6S901C43v64Ev");}
+void  S901C4 ::v65(){vfunc_called(this, "_ZN6S901C43v65Ev");}
+void  S901C4 ::v66(){vfunc_called(this, "_ZN6S901C43v66Ev");}
+void  S901C4 ::v67(){vfunc_called(this, "_ZN6S901C43v67Ev");}
+void  S901C4 ::v68(){vfunc_called(this, "_ZN6S901C43v68Ev");}
+void  S901C4 ::v69(){vfunc_called(this, "_ZN6S901C43v69Ev");}
+void  S901C4 ::v70(){vfunc_called(this, "_ZN6S901C43v70Ev");}
+void  S901C4 ::v71(){vfunc_called(this, "_ZN6S901C43v71Ev");}
+void  S901C4 ::v72(){vfunc_called(this, "_ZN6S901C43v72Ev");}
+void  S901C4 ::v73(){vfunc_called(this, "_ZN6S901C43v73Ev");}
+void  S901C4 ::v74(){vfunc_called(this, "_ZN6S901C43v74Ev");}
+void  S901C4 ::v75(){vfunc_called(this, "_ZN6S901C43v75Ev");}
+void  S901C4 ::v76(){vfunc_called(this, "_ZN6S901C43v76Ev");}
+void  S901C4 ::v77(){vfunc_called(this, "_ZN6S901C43v77Ev");}
+void  S901C4 ::v78(){vfunc_called(this, "_ZN6S901C43v78Ev");}
+void  S901C4 ::v79(){vfunc_called(this, "_ZN6S901C43v79Ev");}
+void  S901C4 ::v80(){vfunc_called(this, "_ZN6S901C43v80Ev");}
+void  S901C4 ::v81(){vfunc_called(this, "_ZN6S901C43v81Ev");}
+void  S901C4 ::v82(){vfunc_called(this, "_ZN6S901C43v82Ev");}
+void  S901C4 ::v83(){vfunc_called(this, "_ZN6S901C43v83Ev");}
+void  S901C4 ::v84(){vfunc_called(this, "_ZN6S901C43v84Ev");}
+void  S901C4 ::v85(){vfunc_called(this, "_ZN6S901C43v85Ev");}
+void  S901C4 ::v86(){vfunc_called(this, "_ZN6S901C43v86Ev");}
+void  S901C4 ::v87(){vfunc_called(this, "_ZN6S901C43v87Ev");}
+void  S901C4 ::v88(){vfunc_called(this, "_ZN6S901C43v88Ev");}
+void  S901C4 ::v89(){vfunc_called(this, "_ZN6S901C43v89Ev");}
+void  S901C4 ::v90(){vfunc_called(this, "_ZN6S901C43v90Ev");}
+void  S901C4 ::v91(){vfunc_called(this, "_ZN6S901C43v91Ev");}
+void  S901C4 ::v92(){vfunc_called(this, "_ZN6S901C43v92Ev");}
+void  S901C4 ::v93(){vfunc_called(this, "_ZN6S901C43v93Ev");}
+void  S901C4 ::v94(){vfunc_called(this, "_ZN6S901C43v94Ev");}
+void  S901C4 ::v95(){vfunc_called(this, "_ZN6S901C43v95Ev");}
+void  S901C4 ::v96(){vfunc_called(this, "_ZN6S901C43v96Ev");}
+void  S901C4 ::v97(){vfunc_called(this, "_ZN6S901C43v97Ev");}
+void  S901C4 ::v98(){vfunc_called(this, "_ZN6S901C43v98Ev");}
+void  S901C4 ::v99(){vfunc_called(this, "_ZN6S901C43v99Ev");}
+void  S901C4 ::v100(){vfunc_called(this, "_ZN6S901C44v100Ev");}
+void  S901C4 ::v101(){vfunc_called(this, "_ZN6S901C44v101Ev");}
+void  S901C4 ::v102(){vfunc_called(this, "_ZN6S901C44v102Ev");}
+void  S901C4 ::v103(){vfunc_called(this, "_ZN6S901C44v103Ev");}
+S901C4 ::S901C4(){ note_ctor("S901C4", this);} // tgen
+
+static void Test_S901C4()
+{
+  extern Class_Descriptor cd_S901C4;
+  void *lvp;
+  {
+    ABISELECT(double,int) buf[ABISELECT(105,159)];
+    init_test(&cd_S901C4, buf);
+    S901C4 *dp, &lv = *(dp=new (buf) S901C4());
+    lvp = (void*)&lv;
+    check2(sizeof(lv), ABISELECT(832,632), "sizeof(S901C4)");
+    check2(__alignof__(lv), ABISELECT(8,4), "__alignof__(S901C4)");
+    check_base_class_offset(lv, (S901C5*), 0, "S901C4");
+    check_field_offset(lv, s901c4f0, ABISELECT(64,40), "S901C4.s901c4f0");
+    check_field_offset(lv, s901c4f1, ABISELECT(80,56), "S901C4.s901c4f1");
+    check_field_offset(lv, s901c4f2, ABISELECT(96,72), "S901C4.s901c4f2");
+    check_field_offset(lv, s901c4f3, ABISELECT(112,88), "S901C4.s901c4f3");
+    check_field_offset(lv, s901c4f4, ABISELECT(128,104), "S901C4.s901c4f4");
+    check_field_offset(lv, s901c4f5, ABISELECT(144,120), "S901C4.s901c4f5");
+    check_field_offset(lv, s901c4f6, ABISELECT(208,184), "S901C4.s901c4f6");
+    check_field_offset(lv, s901c4f7, ABISELECT(272,248), "S901C4.s901c4f7");
+    check_field_offset(lv, s901c4f8, ABISELECT(288,264), "S901C4.s901c4f8");
+    check_field_offset(lv, s901c4f9, ABISELECT(304,280), "S901C4.s901c4f9");
+    check_field_offset(lv, s901c4f10, ABISELECT(320,296), "S901C4.s901c4f10");
+    check_field_offset(lv, s901c4f11, ABISELECT(328,300), "S901C4.s901c4f11");
+    check_field_offset(lv, s901c4f12, ABISELECT(344,316), "S901C4.s901c4f12");
+    check_field_offset(lv, s901c4f13, ABISELECT(368,332), "S901C4.s901c4f13");
+    check_field_offset(lv, s901c4f14, ABISELECT(376,336), "S901C4.s901c4f14");
+    check_field_offset(lv, s901c4f15, ABISELECT(432,364), "S901C4.s901c4f15");
+    set_bf_and_test(lv, s901c4f16, ABISELECT(448,376), 0, 8, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f16, ABISELECT(448,376), 0, 8, hide_sll(1LL<<7), "S901C4");
+    set_bf_and_test(lv, s901c4f17, ABISELECT(449,377), 0, 8, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f17, ABISELECT(449,377), 0, 8, hide_sll(1LL<<7), "S901C4");
+    set_bf_and_test(lv, s901c4f18, ABISELECT(450,378), 0, 16, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f18, ABISELECT(450,378), 0, 16, hide_sll(1LL<<15), "S901C4");
+    set_bf_and_test(lv, s901c4f19, ABISELECT(452,380), 0, 16, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f19, ABISELECT(452,380), 0, 16, hide_sll(1LL<<15), "S901C4");
+    set_bf_and_test(lv, s901c4f20, ABISELECT(454,382), 0, 8, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f20, ABISELECT(454,382), 0, 8, hide_sll(1LL<<7), "S901C4");
+    set_bf_and_test(lv, s901c4f21, ABISELECT(455,383), 0, 8, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f21, ABISELECT(455,383), 0, 8, hide_sll(1LL<<7), "S901C4");
+    set_bf_and_test(lv, s901c4f22, ABISELECT(456,384), 0, 8, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f22, ABISELECT(456,384), 0, 8, hide_sll(1LL<<7), "S901C4");
+    set_bf_and_test(lv, s901c4f23, ABISELECT(460,388), 0, 32, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f23, ABISELECT(460,388), 0, 32, hide_sll(1LL<<31), "S901C4");
+    set_bf_and_test(lv, s901c4f24, ABISELECT(464,392), 0, 8, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f24, ABISELECT(464,392), 0, 8, hide_sll(1LL<<7), "S901C4");
+    set_bf_and_test(lv, s901c4f25, ABISELECT(465,393), 0, 4, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f25, ABISELECT(465,393), 0, 4, hide_sll(1LL<<3), "S901C4");
+    set_bf_and_test(lv, s901c4f26, ABISELECT(465,393), 4, 4, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f26, ABISELECT(465,393), 4, 4, hide_sll(1LL<<3), "S901C4");
+    set_bf_and_test(lv, s901c4f27, ABISELECT(466,394), 0, 4, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f27, ABISELECT(466,394), 0, 4, hide_sll(1LL<<3), "S901C4");
+    set_bf_and_test(lv, s901c4f28, ABISELECT(466,394), 4, 4, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f28, ABISELECT(466,394), 4, 4, hide_sll(1LL<<3), "S901C4");
+    set_bf_and_test(lv, s901c4f29, ABISELECT(467,395), 0, 4, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f29, ABISELECT(467,395), 0, 4, hide_sll(1LL<<3), "S901C4");
+    set_bf_and_test(lv, s901c4f30, ABISELECT(467,395), 4, 4, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f30, ABISELECT(467,395), 4, 4, hide_sll(1LL<<3), "S901C4");
+    set_bf_and_test(lv, s901c4f31, ABISELECT(468,396), 0, 4, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f31, ABISELECT(468,396), 0, 4, hide_sll(1LL<<3), "S901C4");
+    set_bf_and_test(lv, s901c4f32, ABISELECT(468,396), 4, 4, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f32, ABISELECT(468,396), 4, 4, hide_sll(1LL<<3), "S901C4");
+    set_bf_and_test(lv, s901c4f33, ABISELECT(469,397), 0, 4, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f33, ABISELECT(469,397), 0, 4, hide_sll(1LL<<3), "S901C4");
+    set_bf_and_test(lv, s901c4f34, ABISELECT(469,397), 4, 4, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f34, ABISELECT(469,397), 4, 4, hide_sll(1LL<<3), "S901C4");
+    set_bf_and_test(lv, s901c4f35, ABISELECT(470,398), 0, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f36, ABISELECT(470,398), 1, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f37, ABISELECT(470,398), 2, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f38, ABISELECT(470,398), 3, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f39, ABISELECT(470,398), 4, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f40, ABISELECT(470,398), 5, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f41, ABISELECT(470,398), 6, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f42, ABISELECT(470,398), 7, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f43, ABISELECT(471,399), 0, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f44, ABISELECT(471,399), 1, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f45, ABISELECT(471,399), 2, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f46, ABISELECT(471,399), 3, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f47, ABISELECT(471,399), 4, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f48, ABISELECT(471,399), 5, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f49, ABISELECT(471,399), 6, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f50, ABISELECT(471,399), 7, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f51, ABISELECT(472,400), 0, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f52, ABISELECT(472,400), 1, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f53, ABISELECT(472,400), 2, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f54, ABISELECT(472,400), 3, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f55, ABISELECT(472,400), 4, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f56, ABISELECT(472,400), 5, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f57, ABISELECT(472,400), 6, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f58, ABISELECT(472,400), 7, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f59, ABISELECT(473,401), 0, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f60, ABISELECT(473,401), 1, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f61, ABISELECT(473,401), 2, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f62, ABISELECT(473,401), 3, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f63, ABISELECT(473,401), 4, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f64, ABISELECT(473,401), 5, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f65, ABISELECT(473,401), 6, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f66, ABISELECT(473,401), 7, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f67, ABISELECT(474,402), 0, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f68, ABISELECT(474,402), 1, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f69, ABISELECT(474,402), 2, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f70, ABISELECT(474,402), 3, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f71, ABISELECT(474,402), 4, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f72, ABISELECT(474,402), 5, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f73, ABISELECT(474,402), 6, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f74, ABISELECT(474,402), 7, 1, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f75, ABISELECT(475,403), 0, 1, 1, "S901C4");
+    check_field_offset(lv, s901c4f76, ABISELECT(476,404), "S901C4.s901c4f76");
+    check_field_offset(lv, s901c4f77, ABISELECT(504,432), "S901C4.s901c4f77");
+    set_bf_and_test(lv, s901c4f78, ABISELECT(552,456), 0, 8, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f78, ABISELECT(552,456), 0, 8, hide_sll(1LL<<7), "S901C4");
+    set_bf_and_test(lv, s901c4f79, ABISELECT(553,457), 0, 8, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f79, ABISELECT(553,457), 0, 8, hide_sll(1LL<<7), "S901C4");
+    set_bf_and_test(lv, s901c4f80, ABISELECT(554,458), 0, 8, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f80, ABISELECT(554,458), 0, 8, hide_sll(1LL<<7), "S901C4");
+    set_bf_and_test(lv, s901c4f81, ABISELECT(555,459), 0, 8, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f81, ABISELECT(555,459), 0, 8, hide_sll(1LL<<7), "S901C4");
+    set_bf_and_test(lv, s901c4f82, ABISELECT(556,460), 0, 8, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f82, ABISELECT(556,460), 0, 8, hide_sll(1LL<<7), "S901C4");
+    set_bf_and_test(lv, s901c4f83, ABISELECT(557,461), 0, 8, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f83, ABISELECT(557,461), 0, 8, hide_sll(1LL<<7), "S901C4");
+    check_field_offset(lv, s901c4f84, ABISELECT(560,464), "S901C4.s901c4f84");
+    check_field_offset(lv, s901c4f85, ABISELECT(576,476), "S901C4.s901c4f85");
+    set_bf_and_test(lv, s901c4f86, ABISELECT(608,492), 0, 8, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f86, ABISELECT(608,492), 0, 8, hide_sll(1LL<<7), "S901C4");
+    set_bf_and_test(lv, s901c4f87, ABISELECT(609,493), 0, 8, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f87, ABISELECT(609,493), 0, 8, hide_sll(1LL<<7), "S901C4");
+    set_bf_and_test(lv, s901c4f88, ABISELECT(610,494), 0, 8, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f88, ABISELECT(610,494), 0, 8, hide_sll(1LL<<7), "S901C4");
+    set_bf_and_test(lv, s901c4f89, ABISELECT(611,495), 0, 8, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f89, ABISELECT(611,495), 0, 8, hide_sll(1LL<<7), "S901C4");
+    set_bf_and_test(lv, s901c4f90, ABISELECT(612,496), 0, 8, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f90, ABISELECT(612,496), 0, 8, hide_sll(1LL<<7), "S901C4");
+    set_bf_and_test(lv, s901c4f91, ABISELECT(613,497), 0, 16, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f91, ABISELECT(613,497), 0, 16, hide_sll(1LL<<15), "S901C4");
+    set_bf_and_test(lv, s901c4f92, ABISELECT(616,500), 0, 16, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f92, ABISELECT(616,500), 0, 16, hide_sll(1LL<<15), "S901C4");
+    set_bf_and_test(lv, s901c4f93, ABISELECT(618,502), 0, 16, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f93, ABISELECT(618,502), 0, 16, hide_sll(1LL<<15), "S901C4");
+    set_bf_and_test(lv, s901c4f94, ABISELECT(620,504), 0, 4, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f94, ABISELECT(620,504), 0, 4, hide_sll(1LL<<3), "S901C4");
+    set_bf_and_test(lv, s901c4f95, ABISELECT(620,504), 4, 4, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f95, ABISELECT(620,504), 4, 4, hide_sll(1LL<<3), "S901C4");
+    set_bf_and_test(lv, s901c4f96, ABISELECT(621,505), 0, 4, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f96, ABISELECT(621,505), 0, 4, hide_sll(1LL<<3), "S901C4");
+    set_bf_and_test(lv, s901c4f97, ABISELECT(621,505), 4, 4, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f97, ABISELECT(621,505), 4, 4, hide_sll(1LL<<3), "S901C4");
+    set_bf_and_test(lv, s901c4f98, ABISELECT(622,506), 0, 4, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f98, ABISELECT(622,506), 0, 4, hide_sll(1LL<<3), "S901C4");
+    set_bf_and_test(lv, s901c4f99, ABISELECT(622,506), 4, 4, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f99, ABISELECT(622,506), 4, 4, hide_sll(1LL<<3), "S901C4");
+    set_bf_and_test(lv, s901c4f100, ABISELECT(623,507), 0, 4, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f100, ABISELECT(623,507), 0, 4, hide_sll(1LL<<3), "S901C4");
+    set_bf_and_test(lv, s901c4f101, ABISELECT(623,507), 4, 4, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f101, ABISELECT(623,507), 4, 4, hide_sll(1LL<<3), "S901C4");
+    set_bf_and_test(lv, s901c4f102, ABISELECT(624,508), 0, 4, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f102, ABISELECT(624,508), 0, 4, hide_sll(1LL<<3), "S901C4");
+    set_bf_and_test(lv, s901c4f103, ABISELECT(624,508), 4, 4, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f103, ABISELECT(624,508), 4, 4, hide_sll(1LL<<3), "S901C4");
+    set_bf_and_test(lv, s901c4f104, ABISELECT(625,509), 0, 4, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f104, ABISELECT(625,509), 0, 4, hide_sll(1LL<<3), "S901C4");
+    set_bf_and_test(lv, s901c4f105, ABISELECT(625,509), 4, 4, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f105, ABISELECT(625,509), 4, 4, hide_sll(1LL<<3), "S901C4");
+    set_bf_and_test(lv, s901c4f106, ABISELECT(626,510), 0, 8, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f106, ABISELECT(626,510), 0, 8, hide_sll(1LL<<7), "S901C4");
+    set_bf_and_test(lv, s901c4f107, ABISELECT(627,511), 0, 8, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f107, ABISELECT(627,511), 0, 8, hide_sll(1LL<<7), "S901C4");
+    set_bf_and_test(lv, s901c4f108, ABISELECT(628,512), 0, 8, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f108, ABISELECT(628,512), 0, 8, hide_sll(1LL<<7), "S901C4");
+    check_field_offset(lv, s901c4f109, ABISELECT(632,516), "S901C4.s901c4f109");
+    check_field_offset(lv, s901c4f110, ABISELECT(688,544), "S901C4.s901c4f110");
+    check_field_offset(lv, s901c4f111, ABISELECT(712,560), "S901C4.s901c4f111");
+    set_bf_and_test(lv, s901c4f112, ABISELECT(728,568), 0, 16, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f112, ABISELECT(728,568), 0, 16, hide_sll(1LL<<15), "S901C4");
+    set_bf_and_test(lv, s901c4f113, ABISELECT(730,570), 0, 16, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f113, ABISELECT(730,570), 0, 16, hide_sll(1LL<<15), "S901C4");
+    check_field_offset(lv, s901c4f114, ABISELECT(736,572), "S901C4.s901c4f114");
+    check_field_offset(lv, s901c4f115, ABISELECT(752,584), "S901C4.s901c4f115");
+    check_field_offset(lv, s901c4f116, ABISELECT(776,600), "S901C4.s901c4f116");
+    check_field_offset(lv, s901c4f117, ABISELECT(800,616), "S901C4.s901c4f117");
+    set_bf_and_test(lv, s901c4f118, ABISELECT(816,624), 0, 24, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f118, ABISELECT(816,624), 0, 24, hide_sll(1LL<<23), "S901C4");
+    set_bf_and_test(lv, s901c4f119, ABISELECT(819,627), 0, 8, 1, "S901C4");
+    set_bf_and_test(lv, s901c4f119, ABISELECT(819,627), 0, 8, hide_sll(1LL<<7), "S901C4");
+    check_field_offset(lv, s901c4f120, ABISELECT(824,628), "S901C4.s901c4f120");
+    test_class_info(&lv, &cd_S901C4);
+    dp->~S901C4();
+  }
+  Check_Ctor_Dtor_Calls(lvp);
+}
+static Arrange_To_Call_Me vS901C4(Test_S901C4, "S901C4", ABISELECT(832,632));
+
+#else // __cplusplus
+
+extern void _ZN6S901C4C1Ev();
+extern void _ZN6S901C4D1Ev();
+Name_Map name_map_S901C4[] = {
+  NSPAIR(_ZN6S901C4C1Ev),
+  NSPAIR(_ZN6S901C4D1Ev),
+  {0,0}
+};
+extern Class_Descriptor cd_S901C5;
+extern VTBL_ENTRY _ZTI6S901C5[];
+extern  VTBL_ENTRY _ZTV6S901C5[];
+static Base_Class bases_S901C4[] = {
+  // ofst, vfto, nneg, vtt_idx, base_vtt_idx, init_seq, direct, virtual
+  {&cd_S901C5,    0, //bcp->offset
+    -1, //bcp->virtual_function_table_offset
+    2, //num_negative_vtable_entries(t, bcp)
+    0, //bcp->index_in_construction_vtbl_array
+    0, //bcp->base_subarray_index_in_construction_vtbl_array
+    1, //init_seq
+    -1, //immediately_derived
+  1, 0},
+  {0}};
+extern VTBL_ENTRY _ZTI6S901C4[];
+extern void _ZN6S901C4D1Ev();
+extern void _ZN6S901C4D0Ev();
+extern void _ZN6S901C52v3Ev();
+extern void _ZN6S901C52v4Ev();
+extern void _ZN6S901C52v5Ev();
+extern void _ZN6S901C52v6Ev();
+extern void _ZN6S901C52v7Ev();
+extern void _ZN6S901C52v8Ev();
+extern void _ZN6S901C52v9Ev();
+extern void _ZN6S901C53v10Ev();
+extern void _ZN6S901C53v11Ev();
+extern void _ZN6S901C53v12Ev();
+extern void _ZN6S901C53v13Ev();
+extern void _ZN6S901C53v14Ev();
+extern void _ZN6S901C53v15Ev();
+extern void _ZN6S901C53v16Ev();
+extern void _ZN6S901C53v17Ev();
+extern void _ZN6S901C53v18Ev();
+extern void _ZN6S901C53v19Ev();
+extern void _ZN6S901C53v20Ev();
+extern void _ZN6S901C53v21Ev();
+extern void _ZN6S901C53v22Ev();
+extern void _ZN6S901C53v23Ev();
+extern void _ZN6S901C53v24Ev();
+extern void _ZN6S901C53v25Ev();
+extern void _ZN6S901C43v26Ev();
+extern void _ZN6S901C43v27Ev();
+extern void _ZN6S901C43v28Ev();
+extern void _ZN6S901C43v29Ev();
+extern void _ZN6S901C43v30Ev();
+extern void _ZN6S901C43v31Ev();
+extern void _ZN6S901C43v32Ev();
+extern void _ZN6S901C43v33Ev();
+extern void _ZN6S901C43v34Ev();
+extern void _ZN6S901C43v35Ev();
+extern void _ZN6S901C43v36Ev();
+extern void _ZN6S901C43v37Ev();
+extern void _ZN6S901C43v38Ev();
+extern void _ZN6S901C43v39Ev();
+extern void _ZN6S901C43v40Ev();
+extern void _ZN6S901C43v41Ev();
+extern void _ZN6S901C43v42Ev();
+extern void _ZN6S901C43v43Ev();
+extern void _ZN6S901C43v44Ev();
+extern void _ZN6S901C43v45Ev();
+extern void _ZN6S901C43v46Ev();
+extern void _ZN6S901C43v47Ev();
+extern void _ZN6S901C43v48Ev();
+extern void _ZN6S901C43v49Ev();
+extern void _ZN6S901C43v50Ev();
+extern void _ZN6S901C43v51Ev();
+extern void _ZN6S901C43v52Ev();
+extern void _ZN6S901C43v53Ev();
+extern void _ZN6S901C43v54Ev();
+extern void _ZN6S901C43v55Ev();
+extern void _ZN6S901C43v56Ev();
+extern void _ZN6S901C43v57Ev();
+extern void _ZN6S901C43v58Ev();
+extern void _ZN6S901C43v59Ev();
+extern void _ZN6S901C43v60Ev();
+extern void _ZN6S901C43v61Ev();
+extern void _ZN6S901C43v62Ev();
+extern void _ZN6S901C43v63Ev();
+extern void _ZN6S901C43v64Ev();
+extern void _ZN6S901C43v65Ev();
+extern void _ZN6S901C43v66Ev();
+extern void _ZN6S901C43v67Ev();
+extern void _ZN6S901C43v68Ev();
+extern void _ZN6S901C43v69Ev();
+extern void _ZN6S901C43v70Ev();
+extern void _ZN6S901C43v71Ev();
+extern void _ZN6S901C43v72Ev();
+extern void _ZN6S901C43v73Ev();
+extern void _ZN6S901C43v74Ev();
+extern void _ZN6S901C43v75Ev();
+extern void _ZN6S901C43v76Ev();
+extern void _ZN6S901C43v77Ev();
+extern void _ZN6S901C43v78Ev();
+extern void _ZN6S901C43v79Ev();
+extern void _ZN6S901C43v80Ev();
+extern void _ZN6S901C43v81Ev();
+extern void _ZN6S901C43v82Ev();
+extern void _ZN6S901C43v83Ev();
+extern void _ZN6S901C43v84Ev();
+extern void _ZN6S901C43v85Ev();
+extern void _ZN6S901C43v86Ev();
+extern void _ZN6S901C43v87Ev();
+extern void _ZN6S901C43v88Ev();
+extern void _ZN6S901C43v89Ev();
+extern void _ZN6S901C43v90Ev();
+extern void _ZN6S901C43v91Ev();
+extern void _ZN6S901C43v92Ev();
+extern void _ZN6S901C43v93Ev();
+extern void _ZN6S901C43v94Ev();
+extern void _ZN6S901C43v95Ev();
+extern void _ZN6S901C43v96Ev();
+extern void _ZN6S901C43v97Ev();
+extern void _ZN6S901C43v98Ev();
+extern void _ZN6S901C43v99Ev();
+extern void _ZN6S901C44v100Ev();
+extern void _ZN6S901C44v101Ev();
+extern void _ZN6S901C44v102Ev();
+extern void _ZN6S901C44v103Ev();
+static  VTBL_ENTRY vtc_S901C4[] = {
+  0,
+  (VTBL_ENTRY)&(_ZTI6S901C4[0]),
+  (VTBL_ENTRY)&_ZN6S901C4D1Ev,
+  (VTBL_ENTRY)&_ZN6S901C4D0Ev,
+  (VTBL_ENTRY)&_ZN6S901C52v3Ev,
+  (VTBL_ENTRY)&_ZN6S901C52v4Ev,
+  (VTBL_ENTRY)&_ZN6S901C52v5Ev,
+  (VTBL_ENTRY)&_ZN6S901C52v6Ev,
+  (VTBL_ENTRY)&_ZN6S901C52v7Ev,
+  (VTBL_ENTRY)&_ZN6S901C52v8Ev,
+  (VTBL_ENTRY)&_ZN6S901C52v9Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v10Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v11Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v12Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v13Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v14Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v15Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v16Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v17Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v18Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v19Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v20Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v21Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v22Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v23Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v24Ev,
+  (VTBL_ENTRY)&_ZN6S901C53v25Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v26Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v27Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v28Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v29Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v30Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v31Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v32Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v33Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v34Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v35Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v36Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v37Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v38Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v39Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v40Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v41Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v42Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v43Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v44Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v45Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v46Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v47Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v48Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v49Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v50Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v51Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v52Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v53Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v54Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v55Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v56Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v57Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v58Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v59Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v60Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v61Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v62Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v63Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v64Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v65Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v66Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v67Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v68Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v69Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v70Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v71Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v72Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v73Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v74Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v75Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v76Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v77Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v78Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v79Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v80Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v81Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v82Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v83Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v84Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v85Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v86Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v87Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v88Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v89Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v90Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v91Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v92Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v93Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v94Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v95Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v96Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v97Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v98Ev,
+  (VTBL_ENTRY)&_ZN6S901C43v99Ev,
+  (VTBL_ENTRY)&_ZN6S901C44v100Ev,
+  (VTBL_ENTRY)&_ZN6S901C44v101Ev,
+  (VTBL_ENTRY)&_ZN6S901C44v102Ev,
+  (VTBL_ENTRY)&_ZN6S901C44v103Ev,
+};

[... 24238 lines stripped ...]




More information about the llvm-commits mailing list