[llvm-commits] [www-pubs] r112775 - in /www-pubs/trunk: 2010-06-ISCA-Relax.html 2010-06-ISCA-Relax.pdf pubs.js

Chris Lattner sabre at nondot.org
Wed Sep 1 16:53:43 PDT 2010


Author: lattner
Date: Wed Sep  1 18:53:43 2010
New Revision: 112775

URL: http://llvm.org/viewvc/llvm-project?rev=112775&view=rev
Log:
add "Relax: An Architectural Framework for Software Recovery of Hardware Faults"
from ISCA'10

Added:
    www-pubs/trunk/2010-06-ISCA-Relax.html
    www-pubs/trunk/2010-06-ISCA-Relax.pdf   (with props)
Modified:
    www-pubs/trunk/pubs.js

Added: www-pubs/trunk/2010-06-ISCA-Relax.html
URL: http://llvm.org/viewvc/llvm-project/www-pubs/trunk/2010-06-ISCA-Relax.html?rev=112775&view=auto
==============================================================================
--- www-pubs/trunk/2010-06-ISCA-Relax.html (added)
+++ www-pubs/trunk/2010-06-ISCA-Relax.html Wed Sep  1 18:53:43 2010
@@ -0,0 +1,70 @@
+<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.01 Transitional//EN">
+<html>
+<head>
+  <meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
+  <link rel="stylesheet" href="../llvm.css" type="text/css" media="screen">
+  <title>Relax: An Architectural Framework for Software Recovery of Hardware Faults</title>
+</head>
+<body>
+
+<div class="pub_title">
+  Relax: An Architectural Framework for Software Recovery of Hardware Faults
+</div>
+<div class="pub_author">
+  M. de Kruijf, S. Nomura, and K.Sankaralingam
+</div>
+
+<h2>Abstract:</h2>
+<blockquote>
+<p>
+As technology scales ever further, device unreliability is creating excessive
+complexity for hardware to maintain the illusion of perfect operation. In this
+paper, we consider whether exposing hardware fault information to software and
+allowing software to control fault recovery simplifies hardware design and helps
+technology scaling.</p>
+
+<p>The combination of emerging applications and emerging many-core architectures
+makes software recovery a viable alternative to hardware-based fault recovery.
+Emerging applications tend to have few I/O and memory side-effects, which limits
+the amount of information that needs checkpointing, and they allow discarding
+individual sub-computations with small qualitative impact. Software recovery can
+harness these properties in ways that hardware recovery cannot.</p>
+
+<p>
+We describe Relax, an architectural framework for software recovery of hardware
+faults. Relax includes three core components: (1) an ISA extension that allows
+software to mark regions of code for software recovery, (2) a hardware
+organization that simplifies reliability considerations and provides energy
+efficiency with hardware recovery support removed, and (3) software support for
+compilers and programmers to utilize the Relax ISA. Applying Relax to counter
+the effects of process variation, our results show a 20% energy efficiency
+improvement for PARSEC applications with only minimal source code changes and
+simpler hardware.
+</p>
+</blockquote>
+
+<h2>Published:</h2>
+<blockquote>
+  "Relax: An Architectural Framework for Software Recovery of Hardware Faults"<br>
+   M. de Kruijf, S. Nomura, and K.Sankaralingam<br>
+<i>In Proceedings of ISCA '10: International Symposium on Computer Architecture,</i>
+June 2010.
+</blockquote>
+
+<h2>Download:</h2>
+<h3>Paper:</h3>
+<ul>
+  <li><a href="2010-06-ISCA-Relax.pdf">
+  Relax: An Architectural Framework for Software Recovery of Hardware Faults
+  </a> (PDF)</li>
+</ul>
+
+<!-- *********************************************************************** -->
+<hr>
+  <a href="http://jigsaw.w3.org/css-validator/check/referer"><img
+  src="http://jigsaw.w3.org/css-validator/images/vcss" alt="Valid CSS!"></a>
+  <a href="http://validator.w3.org/check/referer"><img
+  src="http://www.w3.org/Icons/valid-html401" alt="Valid HTML 4.01!" /></a>
+
+</body>
+</html>

Added: www-pubs/trunk/2010-06-ISCA-Relax.pdf
URL: http://llvm.org/viewvc/llvm-project/www-pubs/trunk/2010-06-ISCA-Relax.pdf?rev=112775&view=auto
==============================================================================
Binary file - no diff available.

Propchange: www-pubs/trunk/2010-06-ISCA-Relax.pdf
------------------------------------------------------------------------------
    svn:mime-type = application/octet-stream

Modified: www-pubs/trunk/pubs.js
URL: http://llvm.org/viewvc/llvm-project/www-pubs/trunk/pubs.js?rev=112775&r1=112774&r2=112775&view=diff
==============================================================================
--- www-pubs/trunk/pubs.js (original)
+++ www-pubs/trunk/pubs.js Wed Sep  1 18:53:43 2010
@@ -15,6 +15,12 @@
    author: "André Tavares, Fernando Magno Pereira, Mariza Bigonha and Roberto Bigonha",
    month: 9,
    year: 2010},
+  {url: "2010-06-ISCA-Relax.html",
+   title: "Relax: An Architectural Framework for Software Recovery of Hardware Faults",
+   published: "ISCA '10: International Symposium on Computer Architecture",
+   author: "M. de Kruijf, S. Nomura, and K.Sankaralingam",
+   month: 6,
+   year: 2010},
   {url: "2010-06-ISMM-CETS.html",
    title: "CETS: Compiler Enforced Temporal Safety for C",
    published: "International Conference on Memory Management 2010",





More information about the llvm-commits mailing list