[llvm-commits] CVS: llvm/lib/CodeGen/ModuloScheduling/ModuloSchedGraph.cpp ModuloSchedGraph.h ModuloScheduling.cpp ModuloScheduling.h

Guochun Shi gshi1 at cs.uiuc.edu
Sun Apr 6 18:57:01 PDT 2003


Changes in directory llvm/lib/CodeGen/ModuloScheduling:

ModuloSchedGraph.cpp updated: 1.1 -> 1.2
ModuloSchedGraph.h updated: 1.1 -> 1.2
ModuloScheduling.cpp updated: 1.1 -> 1.2
ModuloScheduling.h updated: 1.1 -> 1.2

---
Log message:

change the include file names and some class names to make it compile



---
Diffs of the changes:

Index: llvm/lib/CodeGen/ModuloScheduling/ModuloSchedGraph.cpp
diff -u llvm/lib/CodeGen/ModuloScheduling/ModuloSchedGraph.cpp:1.1 llvm/lib/CodeGen/ModuloScheduling/ModuloSchedGraph.cpp:1.2
--- llvm/lib/CodeGen/ModuloScheduling/ModuloSchedGraph.cpp:1.1	Thu Mar 27 11:57:43 2003
+++ llvm/lib/CodeGen/ModuloScheduling/ModuloSchedGraph.cpp	Sun Apr  6 18:56:10 2003
@@ -7,7 +7,7 @@
 #include "Support/StringExtras.h"
 #include "Support/STLExtras.h"
 #include <iostream>
-#include <swig.h>
+//#include <swig.h>
 #include "llvm/iOperators.h"
 #include "llvm/iOther.h"
 #include "llvm/iPHINode.h"
@@ -16,7 +16,7 @@
 #include "llvm/Type.h"
 #include "llvm/CodeGen/MachineCodeForInstruction.h"
 #include "llvm/CodeGen/MachineInstr.h"
-#include "llvm/Target/MachineSchedInfo.h"
+#include "llvm/Target/TargetSchedInfo.h"
 
 #define UNIDELAY 1
 #define min(a, b)       ((a) < (b) ? (a) : (b))
@@ -104,7 +104,8 @@
 void ModuloSchedGraph::addDefUseEdges(const BasicBlock* bb)
 {
   //collect def instructions, store them in vector
-  const MachineInstrInfo& mii = target.getInstrInfo();
+  //  const TargetInstrInfo& mii = target.getInstrInfo();
+  const TargetInstrInfo& mii = target.getInstrInfo();
   
   typedef std::vector<ModuloSchedGraphNode*> DefVec;
   DefVec defVec;
@@ -274,7 +275,7 @@
 				      RegToRefVecMap& regToRefVecMap,
 				      ValueToDefVecMap& valueToDefVecMap)
 {
-  //const MachineInstrInfo& mii=target.getInstrInfo();
+  //const TargetInstrInfo& mii=target.getInstrInfo();
   
   //Build graph nodes for each LLVM instruction and gather def/use info.
   //Do both together in a single pass over all machine instructions.
@@ -889,7 +890,7 @@
     this->dump(bb);
 
   if(!isLoop(bb)){
-    modSched_os <<" dumping non-loop BB:"<<endl;
+    modSched_os <<" dumping non-loop BB:\n";
     dump(bb);
   }
   if( isLoop(bb))
@@ -1109,7 +1110,7 @@
 }
 void ModuloSchedGraph::dumpResourceUsage(std::vector< pair<int,int> > &ru)
 {
-  MachineSchedInfo& msi = (MachineSchedInfo&)target.getSchedInfo();
+  TargetSchedInfo& msi = (TargetSchedInfo&)target.getSchedInfo();
   
   std::vector<pair<int,int> > resourceNumVector = msi.resourceNumVector;
   modSched_os <<"resourceID\t"<<"resourceNum"<<"\n";
@@ -1128,8 +1129,8 @@
 int ModuloSchedGraph::computeResII(const BasicBlock* bb)
 {
   
-  const MachineInstrInfo& mii = target.getInstrInfo();
-  const MachineSchedInfo& msi = target.getSchedInfo();
+  const TargetInstrInfo& mii = target.getInstrInfo();
+  const TargetSchedInfo& msi = target.getSchedInfo();
   
   int ResII;
   std::vector<pair<int,int> > resourceUsage; //pair<int resourceid, int resourceUsageTimes_in_the_whole_block>


Index: llvm/lib/CodeGen/ModuloScheduling/ModuloSchedGraph.h
diff -u llvm/lib/CodeGen/ModuloScheduling/ModuloSchedGraph.h:1.1 llvm/lib/CodeGen/ModuloScheduling/ModuloSchedGraph.h:1.2
--- llvm/lib/CodeGen/ModuloScheduling/ModuloSchedGraph.h:1.1	Thu Mar 27 11:57:43 2003
+++ llvm/lib/CodeGen/ModuloScheduling/ModuloSchedGraph.h	Sun Apr  6 18:56:16 2003
@@ -13,6 +13,7 @@
 #include "../InstrSched/SchedGraphCommon.h"
 #include "llvm/Instruction.h"
 #include "llvm/Target/TargetMachine.h"
+#include "llvm/Target/TargetInstrInfo.h"
 #include <iostream>
 using std::pair;
 


Index: llvm/lib/CodeGen/ModuloScheduling/ModuloScheduling.cpp
diff -u llvm/lib/CodeGen/ModuloScheduling/ModuloScheduling.cpp:1.1 llvm/lib/CodeGen/ModuloScheduling/ModuloScheduling.cpp:1.2
--- llvm/lib/CodeGen/ModuloScheduling/ModuloScheduling.cpp:1.1	Thu Mar 27 11:57:43 2003
+++ llvm/lib/CodeGen/ModuloScheduling/ModuloScheduling.cpp	Sun Apr  6 18:56:16 2003
@@ -8,9 +8,10 @@
 
 #include "llvm/CodeGen/MachineInstr.h"
 #include "llvm/CodeGen/MachineCodeForInstruction.h"
-#include "llvm/CodeGen/MachineCodeForBasicBlock.h"
-#include "llvm/CodeGen/MachineCodeForMethod.h"
-#include "llvm/Analysis/LiveVar/FunctionLiveVarInfo.h" // FIXME: Remove when modularized better
+//#include "llvm/CodeGen/MachineCodeForBasicBlock.h"
+//#include "llvm/CodeGen/MachineCodeForMethod.h"
+#include "llvm/CodeGen/MachineFunction.h"
+//#include "llvm/Analysis/LiveVar/FunctionLiveVarInfo.h" // FIXME: Remove when modularized better
 #include "llvm/Target/TargetMachine.h"
 #include "llvm/BasicBlock.h"
 #include "llvm/Instruction.h"
@@ -18,13 +19,13 @@
 #include <algorithm>
 #include "ModuloSchedGraph.h"
 #include "ModuloScheduling.h"
-#include "llvm/Target/MachineSchedInfo.h"
+#include "llvm/Target/TargetSchedInfo.h"
 #include "llvm/BasicBlock.h"
 #include "llvm/iTerminators.h"
 #include "llvm/iPHINode.h"
 #include "llvm/Constants.h"
 #include <iostream>
-#include <swig.h>
+//#include <swig.h>
 #include <fstream>
 #include "llvm/CodeGen/InstrSelection.h"
 
@@ -67,7 +68,7 @@
     modSched_os<<"*************************computing modulo schedule ************************\n";
   
   
-  const MachineSchedInfo& msi=target.getSchedInfo();
+  const TargetSchedInfo& msi=target.getSchedInfo();
 
   //number of issue slots in the in each cycle
   int numIssueSlots=msi.maxNumIssueTotal;
@@ -137,13 +138,13 @@
 }    
 
 //clear memory from the last round and initialize if necessary
-void ModuloScheduling::clearInitMem(const MachineSchedInfo& msi){
+void ModuloScheduling::clearInitMem(const TargetSchedInfo& msi){
   
 
   unsigned numIssueSlots = msi.maxNumIssueTotal;
   //clear nodeScheduled from the last round
   if( ModuloSchedDebugLevel >= ModuloSched_PrintScheduleProcess){
-    modSched_os<< "***** new round  with II= "<<II<<" *******************"<<endl;
+    modSched_os<< "***** new round  with II= "<<II<<" *******************"<<"\n";
     modSched_os<< " **************clear the vector nodeScheduled**************** \n";
   }
   nodeScheduled.clear();
@@ -602,7 +603,7 @@
 bool ModuloScheduling::ScheduleNode(ModuloSchedGraphNode* node,unsigned start, unsigned end, NodeVec& nodeScheduled)
 {
   
-  const MachineSchedInfo& msi=target.getSchedInfo();
+  const TargetSchedInfo& msi=target.getSchedInfo();
   unsigned int numIssueSlots=msi.maxNumIssueTotal;
 
   if( ModuloSchedDebugLevel >= ModuloSched_PrintScheduleProcess)
@@ -620,7 +621,7 @@
       const Instruction* instr=node->getInst();
       MachineCodeForInstruction& tempMvec=  MachineCodeForInstruction::get(instr);
       bool resourceConflict=false;
-      const MachineInstrInfo &mii=msi.getInstrInfo();
+      const TargetInstrInfo &mii=msi.getInstrInfo();
       
       if(coreSchedule.size() < core_i+1 || !coreSchedule[core_i][core_j]){
 	//this->dumpResourceUsageTable();
@@ -784,10 +785,10 @@
 //-----------------------------------------------------------------------
 void ModuloScheduling::dumpSchedule(std::vector< std::vector<ModuloSchedGraphNode*> > thisSchedule){
   
-  const MachineSchedInfo& msi=target.getSchedInfo();
+  const TargetSchedInfo& msi=target.getSchedInfo();
   unsigned numIssueSlots=msi.maxNumIssueTotal;
   for(unsigned i=0;i< numIssueSlots;i++)
-      modSched_os <<"\t#";
+    modSched_os <<"\t#";
   modSched_os<<"\n";
   for(unsigned i=0;i < thisSchedule.size();i++)
     {
@@ -799,7 +800,7 @@
 	  modSched_os<<"\t";
       modSched_os<<"\n";
     }
-
+  
 }
 
 
@@ -812,7 +813,7 @@
 
 void ModuloScheduling::dumpScheduling(){
   modSched_os<<"dump schedule:"<<"\n";
-  const MachineSchedInfo& msi=target.getSchedInfo();
+  const TargetSchedInfo& msi=target.getSchedInfo();
   unsigned numIssueSlots=msi.maxNumIssueTotal;
   for(unsigned i=0;i< numIssueSlots;i++)
     modSched_os <<"\t#";
@@ -880,7 +881,7 @@
   
   if(ModuloSchedDebugLevel>= ModuloSched_PrintSchedule){
     modSched_fb.open("moduloSchedDebugInfo.output", ios::out);
-    modSched_os<<"******************Modula Scheduling debug information*************************"<<endl;
+    modSched_os<<"******************Modula Scheduling debug information*************************"<<"\n ";
   }
   
   ModuloSchedGraphSet* graphSet = new ModuloSchedGraphSet(&F,target);


Index: llvm/lib/CodeGen/ModuloScheduling/ModuloScheduling.h
diff -u llvm/lib/CodeGen/ModuloScheduling/ModuloScheduling.h:1.1 llvm/lib/CodeGen/ModuloScheduling/ModuloScheduling.h:1.2
--- llvm/lib/CodeGen/ModuloScheduling/ModuloScheduling.h:1.1	Thu Mar 27 11:57:44 2003
+++ llvm/lib/CodeGen/ModuloScheduling/ModuloScheduling.h	Sun Apr  6 18:56:19 2003
@@ -11,6 +11,9 @@
 
 #include "ModuloSchedGraph.h"
 #include <iostream>
+#include <vector>
+
+using std::vector;
 
 class ModuloScheduling:NonCopyable {
  private:
@@ -82,7 +85,7 @@
   //*******************internel functions*******************************
 private:
   //clear memory from the last round and initialize if necessary
-  void clearInitMem(const MachineSchedInfo& );
+  void clearInitMem(const TargetSchedInfo& );
 
   //compute schedule and coreSchedule with the current II
   bool computeSchedule();





More information about the llvm-commits mailing list