[Lldb-commits] [PATCH] D35065: [LLDB][ppc64le] Rename enums in AuxVector

Bruno Rosa via Phabricator via lldb-commits lldb-commits at lists.llvm.org
Thu Jul 6 14:58:28 PDT 2017


brunoalr added inline comments.


================
Comment at: source/Plugins/DynamicLoader/POSIX-DYLD/AuxVector.h:46
+  /// Added AUXV prefix because some targets already define names such as
+  /// AT_DCACHEBSIZE in system headers
   enum EntryType {
----------------
joerg wrote:
> I think most targets do, but they don't pull the relevant system headers in via namespace pollution.
Should I change this comment to

```
/// Added AUXV prefix to avoid potential conflicts with system-defined MACROS
```
?


https://reviews.llvm.org/D35065





More information about the lldb-commits mailing list